是使用include包含,還是使用類型聲明

我的理解是聲明自定義類型棧成員變量時,需要在頭文件中包含該自定義類型的頭文件(棧成員變量的對象在類構造時候必須參與構造)。聲明自定義類型堆成員變量時,只需要提供自定義類型名稱的定義即可(堆成員變量的對象是動態創建的,只要在爲它分配內存時編譯器能夠確定其大小即可)

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章