Verilog描述的簡單的異步RAM


module simple_dc_ram#( parameter dw = 64,aw=7) (
        input rd_clk,wr_clk,wr,
        input [aw-1:0]wr_addr,rd_addr,
        output reg [dw-1:0]q ,
        input [dw-1:0]d
    );
    reg    [dw-1:0]    mem [(1<<aw)-1:0];
    always @(posedge rd_clk)    q <=  mem[rd_addr];
    always @(posedge wr_clk) if (wr) mem[wr_addr] <=  d;
endmodule

發佈了120 篇原創文章 · 獲贊 36 · 訪問量 12萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章