Verilog語法中defparam用法

有的時候仿真希望仿真跑的快點,再不修改實際工程代碼的前提下,可以通過defparam 對程序中的paramameter 進行二次賦值,該值只對仿真過程中有效,不影響實際仿真結果
例如:程序中有3個變量
在這裏插入圖片描述

再測試激勵中通過下面語句進行二次更改:
在這裏插入圖片描述
注意劃線部分是例化名字

仿真效果:
在這裏插入圖片描述

																																		完!
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章