【開發環境】 irun(ncverilog)無法dump fsdb波形問題解決方法

【開發環境】 irun(ncverilog)無法dump fsdb波形問題解決方法

參考文章:

(1)【開發環境】 irun(ncverilog)無法dump fsdb波形問題解決方法

(2)http://www.cnblogs.com/digital-wei/p/6017812.html


備忘一下。


發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章