【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

参考文章:

(1)【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

(2)http://www.cnblogs.com/digital-wei/p/6017812.html


备忘一下。


發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章