寫出漂亮的代碼

最近在知乎新開了一個專欄,寫出漂亮的代碼

寫一個功能 能上生產 可能只需要兩天 要讓代碼符有一定的美感 則需要反覆雕琢 很可能就需要五天到一週了。 如果還需要代碼充分可測試 嗯 差不多要兩週了

寫出具有美感的代碼是很難的,需要經驗以及足夠的耐心。我計算機科班出生,05年開始學習寫代碼,真正開始以寫代碼喫飯是08年開始,寫了整整11年多了。然而近來倍感自己的代碼僅僅是能Work,就如很多PC主機裏,可以運行的很好,但是打開機箱一看,裏面的線很凌亂,看着就讓人覺得是一種負擔,所以開了這個專欄,也是能夠讓自己正視這個問題,並且鞭策自己,無論多忙,都有一個要美的心。

有美感的代碼,不僅僅指的是一個代碼片段很漂亮,也包含代碼組織結構的設計。我們認爲【易於閱讀】是有美感的最重要的指標,而所謂易於閱讀,還可以從另外幾個方面來衡量:

  • 不冗長
  • 能讓初級程序員看懂
  • 帶來感官上的刺激,覺得這是漂亮的
  • 易於更改和擴展

當然,這些是作爲工程師們一直追求的目標,但卻是一直沒有標準答案的。不存在這樣寫一定是漂亮的,甚至不同的人會有不同的看法。 所以最簡單的很靚標準是1,2。就像白居易寫詩,要讓老嫗都能聽懂一樣,能讓人懂纔是有價值的東西。

然後呢,因爲我的”喫飯“語言是Scala,雖然其他的語言我都有在寫和學,但是Scala的很多特性,可以讓代碼看着更漂亮些,所以我後續文章裏,都會以Scala爲主。我們會從各個方面,包括一個函數的設計,命名,如何使用patter match讓代碼更簡潔,如何界定繼承還是Mixin,如何使用增強版的Mixin等組織自己的類或者文件結構等等來闡述在我眼裏,漂亮的代碼是什麼樣的。也期待自己後面能積攢更多的有價值的想法。如果以後就攢了本《讓代碼變美的101個tips》什麼的,就更好了 😁

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章