原创 STM32F030學習之串口收發程序

使用USART最簡單的情況是隻使用3根線:Tx用於 數據發送,Rx用於數據接收,GND是信號地線,提供通信雙方的參考電平。 實現的功能: 1、通過串口發送數據;            2、中斷方式接收數據,並將接收到的數據回送。 首先,第

原创 單鏈表C語言的實現

/*單鏈表的基本操作*/ //實現帶頭結點的單鏈表的建立、求長度,取元素、修改元素、插入、刪除等單鏈表的基本操作。 /* (1)依次從鍵盤讀入數據,

原创 如何做一個優秀的男人

一、擁有自信和風度        男人要用心去經營自己,它體現在自己的思想與涵養上。自信是一個男人最重要的品質,自信的男人就你像一隻在暴風雨中戰鬥的

原创 PCIE 單端信號和差分信號

單端信號單端信號是相對於差分信號而言的,單端輸入指信號有一個參考端和一個信號端構成,參考端一般爲地端。差分信號差分傳輸是一種信號傳輸的技術,區別於傳統的一根信號線一根地線的做法(單端信號),差分傳輸在這兩根線上都傳輸信號,這兩個信號的振幅

原创 PCIe傳輸速率和可用帶寬(吞吐量)計算

參考:https://baike.baidu.com/item/pcie/2167538?fr=aladdin          http://blog.csdn.net/zenglichuanjia/article/details/47

原创 CPU製作工藝中的14nm工藝

CPU推出的Kaby Lake沿襲了上一代Skylake的14nm工藝,那麼什麼是14nm工藝呢? 14nm主要以柵極線寬指標爲準,即圖1中gate(柵極)的寬度(在3d晶體管中指的是頂面寬的長度),當然也伴隨晶體管本身的縮小,電流由so

原创 你這麼努力,爲何還如此焦慮?

文/小巖井       一   有個學生,暑假報名來學日語。因爲半年後要去日本留學,所以很認真地每天在學校上課和自修。   然而他學得並不好。應該說是很不好。他是某重點大學的理科畢業生,按理說智商肯定沒問題。也很努力,可就是學不好。  

原创 去耦電容和旁路電容詳解

在電子電路中,去耦電容和旁路電容都是起到抗干擾的作用,電容所處的位置不同,稱呼就不一樣了。對於同一個電路來說,旁路(bypass)電容是把輸入信號中的高頻噪聲作爲濾除對象,把前級攜帶的高頻雜波濾除,而去耦(decoupling)電容也稱

原创 ALLEGRO封裝文件格式簡介

在Allegro 中, Symbol 有五種, 它們分別是Package Symbol 、Mechanical Symbol、Format Symbol、Shape Symbol、Flash Symbol。每種Symbol 均有一個Sy

原创 怎樣混好電子這一行?(關於MCU、ARM、DSP,嵌入式)

01 很多初學者,甚至是混了好幾年的老手,都在抱怨,電子這行怎麼競爭這麼大啊? 頹廢,這完全在抹殺自己的激情,沒了激情,搞電子,完全就是在浪費生命。其實,競爭真的大嗎??對比一下,難道做業務的競爭不大,做管理的競爭不大,現在這個社會,哪

原创 SMBus與I2C的差別

The I²C bus and the SMBus are popular 2-wire buses that areessentially compatible with each other.Below,significantdiff

原创 TI DSP具體型號含義

例如:TMS320F2812PGFA1.前綴:TMX=實驗器件 ; TMP=原型器件 TMS=合格器件2.系列號:320=TMS320系列3.引導加載選項:(B)4.工藝:C=COMSE=COMS  ;EPROMF=Flash ; EEP

原创 運行程序提示access violation at address的解決方法

打開EXE程序提示時,提示:access violation at address xxxxxxxxx 後邊一大堆,這種情況下應該怎麼解決呢?百度了一下,原來很簡單 現在把解決方法公佈出來:    右擊“我的電腦”。單擊“屬性”。    

原创 單片機89c51與89c52的區別

第一,ROM 89c51是4K,但89c52是8K。第二,RAM89c51是128字節,但89c52256字節就編程來說區別不大,主要是硬件資源的區別。區別如下:1、RAM 空間增大:AT89C51 有128 字節的內部 RAM,AT89

原创 四大法則教你合理選擇MOS管

怎麼選擇MOS管是新手工程師們經常遇到的問題,MOS管,它在電路中是常用到的,因而我們對於它的選取是要重視的。MOS管選擇不好,有可能影響到整個電路的效率和成本,下面總結出如何正確選取MOS管的四大法則。 法則之一:用N溝道orP溝道