原创 [轉] GLOG 使用

0 下載及編譯 從網絡上搜索即可得到下載鏈接。然後編譯(本人編譯的是靜態鏈接庫libglog_static),編譯過程中可能會報出如下錯誤。 (0)”min ” 不是std成員; #include <algorithm>; (1)函數s

原创 【轉】調試段錯誤方法

0 引言      在調試應用程序時,可能因各種原因導致運行發生段錯誤。因此,有必要將堆棧調用信息打印出來,方便定位錯誤。 1 方法      在glibc頭文件execinfo.h中提供了三個函數獲取當前線程的函數調用堆棧。 0) 

原创 [轉]AXI4與AXI3區別

1.burst length AXI4對burst length進行了擴展:AXI3最大burstlength是16 beats,而AXI4支持最大到256 beats,但是僅支持INCR burst type超過16 beats,exc

原创 [轉] 圖像灰度化

0 分量法 將彩色圖像中的三分量的亮度作爲三個灰度圖像的灰度值,可根據應用需要選取一種灰度圖像。f1(i,j)=R(i,j) f2(i,j)=G(i,j) f3(i,j)=B(i,j) 其中fk(i,j)(k=1,2,3)爲轉換後的灰度圖

原创 C++小經驗彙總

本篇博客旨在彙總一系列在C++開發過程中遇到的小問題和經驗總結。 1 c++ 16進制顯示字符變量 c++16進制顯示,資料一直只是說明需要在輸出變量之前指定進制,如std::cout<<std::hex;但是呢如果是輸出char型變量,

原创 [轉] C++前向聲明

轉自http://blog.csdn.net/damotiansheng/article/details/50916394 整理於: http://blog.csdn.net/heyutao007/article/details/6649

原创 網卡驅動之01硬件及協議介紹

在此之前,本人對網卡的理解侷限於平臺上的PHY芯片或者MAC芯片,甚至認爲是相同的東西以至於在在開發驅動程序追蹤內核代碼時,總是傻傻分不清。本文旨在通過介紹硬件常識以達到更好的理解驅動代碼。 0 PHY 與 MAC TCP/IP協議棧分爲

原创 Zynq 文件系統製作

0 擴容 默認xilinx提供的文件系統可能只有16MB,如果我們想要擴容時,需要重新制作,製作的過程可參考官方wiki(http://www.wiki.xilinx.com/Expanding+File+System)。大致流程可以簡

原创 [轉] getopt getopt_long函數

轉自http://blog.csdn.net/cashey1991/article/details/7942809 0 getopt #include <unistd.h>  int getopt(int argc, char *

原创 Linux串口讀寫

0 數據結構        串口的設置主要圍繞在struct termios結構體的設置,其定義如下。 struct termios  {     tcflag_t c_iflag   ;   /* 輸入模式旗標 */     tcf

原创 [轉] Linux進程狀態

轉自:http://blog.csdn.net/nilxin/article/details/7437671     本文主要針對Linux系統對進程狀態的定義,不同OS可能有一定的差別。 0 Linux進程狀態:R (TASK_RUNN

原创 Ubuntu14.04 導航欄消失

    因電腦沒電自動關機,導致Vmware中的虛擬機(Ubuntu14.04),root用戶登陸後,左邊以及任意窗口的導航欄都消失不見,而且啓動終端的快捷鍵也無法工作。但是其它用戶是正常顯示的。     首先,搜到了基本上都是說ccsm

原创 輸入函數對比

0 scanf (0)      函數原型:int scanf(const char * restrict format,...); (1)      返回值:返回成功讀入的數據項數,注意是數據項數;例如scanf(“%d %d”, &a

原创 網卡驅動之02驅動源碼分析

0 環境 內核:經過xilinx基於zynq平臺定製的4.4.0系內核; 硬件:zynq芯片,其中mac contorller是使用Cadence的IP核,phy芯片使用提marvell的1116R芯片; 設備樹:如下表所示,定義了phy

原创 integer綜合分析

 大多數的矢量類型(reg或者net)都被默認當做無符號數。integer和real是個例外,它們被默認爲當做有符號數。通常,real類型是不可綜合的。     假設在沒有溢出的情況下,不管是無符號數還是有符號數,它們都是二進制的一串數值