原创 STM32通過TIM,ADC,DMA的配合使用實現雙通道數據採樣

第一次寫博客,奉獻給STM32了,一直以來都是潛水看博客,希望能夠自己也能寫一點東西,對自己學習和工作的一個總結,希望能夠堅持下去。 項目背景:以57.6kbps的速率採集兩個通道的數據 芯片:STM32F205VCT6 總體的思路通

原创 STM32 ADC注入通道的使用

通過ADC注入通道的使用,可以實時獲取4個GPIO輸入的AD採樣值,下面看具體的代碼。 芯片:STM32F205VCT6 STEP1:初始化GPIO void GPIO_Configuration ( void ) { //GPIO -