原创 latex 改變table表格線條顏色,表格內字體顏色,表頭Table. 顏色

1、表格線顏色       正文中添加庫      \usepackage{array}      表格下面用   \arrayrulecolor{blue} 2、表格內字體顏色:需要一格一格的內容分別使用 {\color{blue} t

原创 latex 一次性改變多個參考文獻的顏色

1、正文添加:複製粘貼即可,只需修改‘文獻1,文獻2’變成你自己的 \usepackage{xcolor} \usepackage{xpatch} \makeatletter \def\changeBibColor#1{% \in

原创 深度學習,8小時順一遍,基本入門

由於項目和學習原因,我沒有太多精力,但又十分想學深度學習,曾長期在深度學習門外徘徊,搞不清楚怎麼入門,偶然找到百度飛槳用來學習,發現非常好(有點硬廣的趕腳),不到一天就能基本入門(大部分概念、流程和YOLO3視覺目標檢測的思想),現在給大

原创 深度學習-初步概念

今天開始學習深度學習: 1、選擇框架(學習庫,例如Tensorflow、caffe、keras):爲了實現ECO跟蹤算法(一種基於相關濾波+深度特徵的算法)中的VggNet模型(神經網絡模型,常見的還有GoogLeNet),從應用的方便性

原创 QT入門筆記一 十分鐘流程走一遍

一、QT Creater(創建) 1、開始菜單-QT Creater;       New project->Application->QT Widgets Application;       輸入名字hello->選擇路徑->下一步;

原创 FPGA入門筆記四 順一遍開發流程

目前大約明白了FPGA是什麼,編程把它給設計成了什麼。捋一遍開發流程再繼續走。 一、開發流程 1) 系統功能設計 在系統設計之前,首先要進行的是方案論證、系統設計和FPGA芯片選擇等準備工作。 一般都採用自頂向下的設計方法,把系統

原创 vivado HLS入門流程

參照博客1和博客2走了一遍,遇到問題註釋一下,以便他人節省時間。 問題描述:生成波形文件無法打開。 解決: 1、在HLS界面設置博客中所說 Solution > Run C/RTL Cosimulation,仿真完成後,需要在Viva

原创 FPGA入門筆記六 大牛點撥了我

最近項目上的合作到了交接的階段,一個FPGA大牛點撥了我一下,他說國內能直接針對LUT去編程的人很少,不會超過100個。 不管其言準確與否,對於未入門的我一直在考慮LUT如何使用,LUT該怎麼與編程結合的問題實在是多此一舉。不如簡單瞭解了

原创 FPGA 四大設計要點

http://www.openhw.org/article/15-03/20104221426490405.html?sort=1129_1552_0_0 FPGA的用處比我們平時想象的用處更廣泛,原因在於其中集成的模塊種類更多,而不僅僅

原创 FPGA入門筆記三 RTL schematic驗證你的RTL級設計

仍然使用開發板,SPARTAN6。難免有錯,請不吝指正。 串口程序比點燈要複雜,比起來做項目的程序簡單很多。 按照設計RTL步驟來設計串口程序: 功能定義與模塊劃分:簡單點,使用串口功能(收發兩根普通IO管腳,與電平和時鐘結合)收發PC(

原创 FPGA入門筆記五 VHDL基本語法-框架

準備開始學習項目程序,XC7K325T,由於用到了VHDL,先學習一些簡單的語法,能看懂程序就行,重點還是verilog。 1、引用庫 library IEEE;    //表示打開IEEE庫,因爲IEEE庫不屬於VHDL的標準庫,所以使

原创 FPGA入門筆記一 RTL級設計與芯片的關聯

第一次寫博客,同時也表示學習FPGA的決心。 學習的初步目標是能夠駕馭XILINX XC7K325T,寫點簡單的程序,或者用起來別人的複雜代碼。預期一個月。 從簡單入手可能更容易理解,使用黑金開發板AX309,型號SPARTAN-6 XC

原创 FPGA入門筆記二 top_module與硬件映射關係

開始小試牛刀,開發板的LED,如有錯誤請不吝指正。 頂層設計文件,子文件都有module模塊,但是隻有頂層的 top_module端口列表需要與ucf文件中芯片引腳映射起來。 按照上篇設計RTL步驟來設計本功能: 功能定義與模塊劃分:上