原创 數字電路基礎元件——VHDL代碼

數電基礎元件VHDL代碼計數器數碼管譯碼器分頻器延時按鍵消抖 計數器 library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENT

原创 CSE 599W: system for ML 05:GPU架構

CPU與GPU的計算模塊差別 以上爲CPU架構與GPU架構的主要區別: 圖一可見:CPU在ALU的計算一個步驟之外還有許多額外的開銷。 圖二展示了CPU,AVX(高級矢量擴展指令集),以及GPU的計算模塊。可以看出GPU在ALU的

原创 DOSBOX 安裝與使用

DOSBOX安裝 Windows 10 64位下 DOS及彙編環境搭建步驟: 1、雙擊DOSBox0.74-3-win32-installer.exe,按照提示安裝在任意目錄下。 2、進入步驟1安裝的文件夾(DOSBox-0.74

原创 C語言語法問題

C語言:有個疑惑 不知道爲什麼 if(~(P1IN & BIT3)) 的寫法,單片機不能在P1.3口爲0時執行程序,但是改爲 if(~P1IN & BIT3) 或者直接寫判斷==0就是正確執行if裏的程序。 問了hlw,他說&是

原创 LED_BUPT

LED_BUPT LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY LED_BUPT IS PORT(clk:

原创 4*4 鍵盤輸入

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY key_scan is port(clk:in std_lo

原创 python learning

CODE: class Employee: "所有員工的基類" empCount=0 def __init__(self,name,salary): self.name=name

原创 python的第一份學習筆記——print,list

【報錯代碼】 【系統報錯】這裏先執行了“+”所代表的concatenate拼接操作,隨後再執行print操作。 而不同數據類型是不能concatenate的,因此報錯。 print("list[0:] "+list[0:])

原创 imageloading.py 使用pygame module

1)No video mode has been set. 似乎是convert()方法出了問題。若刪去convert(),代碼則運行正常。 2)name ‘xxx’ is not defined. 和try的使用沒有關係,刪

原创 C錯誤(4) 順序很重要,真的很重要!!

Q. 爲什麼只能在定時器0中斷中使用串口通信,否則就死機? A: 初始化順序很重要,開啓串口前應先將定時器設置好,因爲二者有同一個寄存器。 void main() { AUXR=0; Timer0