原创 4K標準---電視顯示標準(ITU-R BT.2020)

原文鏈接:https://blog.csdn.net/charleslei/article/details/70920550 在電子視頻顯示與廣播領域,一直以來都以追求更清晰的圖像爲目標,主要是

原创 petalinux auto login error

參照GU1144 使用petalinux 創建APP自動運行工程,編譯時出現如下錯誤: ERROR: myapp-init-1.0-r0 do_install: Function failed: do_install (log file

原创 Block Design AXI BRAM Error

1、現象: 在Block Design 中添加AXI BRAM ,如圖: 點擊validate design 後,提示如下報錯: ERROR: [axi_bram_cntlr-1] Port-A interface property

原创 Linux平臺Makefile文件的編寫基礎篇

本文轉自: 源碼工作室 http://goodcandle.cnblogs.com/archive/2006/03/30/278702.html 目的:        基本掌握了 make 的用法,能在Linux系統上編程。環境:    

原创 pow 沒有定義

提示math.h中pow 和sqirf沒有定義  

原创 Error while running ps7_init method. No Elf file associate

使用vivado 2016.4  SDK GDB在run時出現如下報錯:   在xilinx 官網下載xmdterm.tcl 文件: 下載鏈接  https://www.xilinx.com/support/answers/68503.

原创 SDK JTAG無法下載

如圖,上下電,拔插USB接口還是一樣無法下載,換一臺電腦也一樣,最後掉電把下載器接口板和線全部拔掉重新插後OK。  

原创 不同環境下移植vivado 工程報錯

1、在win7 vivado2016.4 下編譯好的工程,拷貝到win0 Vivado2016.4 環境下重新編譯有時候會一直死在Imp階段,即使編譯通過在導出bit 文件階段也會出現如下報錯:     參考網上的方法: set PRO

原创 使用SDK 時出現 No Elf file associated with target報錯

在vivado2016.4 SDK中使用DEBUG 調試器運行時出現以下報錯:   解決方法:   1、從以下網址 https://www.xilinx.com/support/answers/68503.html 下載 xmdterm

原创 UE veriog 語法設置

轉載註明:https://blog.csdn.net/timeless_2014/article/details/84928986   1、將下面Verilog高亮顯示定義加入UE安裝目錄下的wordfile文件裏:/L14"Veril

原创 NIOS II 編譯報錯提示找不到頭文件或宏定義

  1、從別的電腦拷貝的工程到本地重新編譯後,一直提示找不到頭文件或宏定義,但是文件夾下確實是有這些文件的:     2、參考網上的方法,說是需要重新手動添加這些文件的路徑。步驟如下: 選擇xx_bsp 右鍵,Properties 在對

原创 IP創建選擇PIN屬性模板

    1、如圖: 2、選擇管腳屬性模板: 3、輸入管腳名: 4、與模板屬性進行映射:

原创 GB2312、GBK漢字字庫偏移地址的計算

GB2312收錄簡化漢字及符號、字母、日文假名等共7445 個圖形字符,其中漢字佔6763 個。GB2312 規定“對任意一個圖形字符都採用兩個字節表示,每個字節均採用七位編碼表示”,習慣上稱第一個字節爲“高字節”,即所謂的區碼。第二個字

原创 Quartus II 中如何保持信號不被綜合

  在一些應用中,有些特定的信號我們需要保留,用於進行採集檢測,而綜合器會自動優化把它綜合掉,那麼,應該怎樣告訴綜合器,不讓它優化掉我們需要保留的信號呢? 對這種情況的處理是增加約束,共有2種情況: 1、需要保留的信號是引線 Veril

原创 Xilinx JTAG下載器 連接不上,燈不亮

1、Xilinx  JTAG下載器 連接不上,燈不亮     安裝不同版本vivado 衝突所致,重裝vivado 後OK。