原创 STM32基於keil5 環境的搭建

STM32基於keil5 環境的搭建 1.安裝破解MDK5.14,具體方法參考 https://blog.csdn.net/k1ang/article/details/79439891 2.基於不同的芯片開發,需要安裝不同的支持

原创 關於FPGA時序約束的學習筆記-時序模型的分析

最近一直在學習FPGA時序約束的內容,看了很多的教程。所以想用這篇去整理一下思路。好讓自己能夠堅持下去。 學習時序分析都離不開一個節點對節點的模型,如下圖; 這個模型是FPGA時序分析的基礎模型,描述數據在兩個寄存器間傳輸的一般

原创 基於HAL庫的FMC驅動SRAM(IS62WV51216)

原理圖 根據原理圖配置cubeMX 的 FMC 配置完後生成hal庫代碼。FMC接口有A\B\C\D四種控制模式,具體區別可以參考添加鏈接描述。驅動SRAM只需要選擇模式A。 在模式A下,需要關心地址的建立時間和數據的建立

原创 sdram 知識點整理

SDRAM的自動刷新AS(Auto Refresh)和自刷新SR(Self Refresh) 刷新操作分爲兩種:自動刷新(Auto Refresh,簡稱AR)與自刷新(Self Refresh,簡稱SR)。不論是何種刷新方式,都不

原创 fsm練習

模塊代碼 module fsm( input wire sclk, input wire rst_n, input wire A, output reg k1, output

原创 11101序列檢測狀態機(含有仿真do文件)

模塊代碼 module mealy( input wire clk, input wire rst_n, input wire a, output reg k ); parameter S1

原创 並口parall_interf

模塊代碼 module parall_interf( input wire sclk, input wire rst_n, input wire cs_n, input wire rd_n,

原创 FPGA學習筆記-------計數器結構02

//PWM波 – 產生8個脈衝,每個脈衝週期爲10ms對應的佔空比分別爲80%、70%、60%、50%、40%、30%、20%、10% /計數器結構 //--------------------------------------

原创 FPGA學習筆記-------狀態機結構02

用四段狀態機寫出spi時序 //四段狀態機 //第一段 //一個always模塊採用同步時序的方式描述狀態轉移 always @(posedge clk or negedge rst_n) begin if(!rst_n

原创 FPGA學習筆記-------計數器結構01

計數器結構 計數器結構是通過一個或多個計數器,搭建成整個設計的框架,從而作爲其他信號對齊的條件。計數器優秀的標準是:能用計數器指示任何一個時鐘;能方便的被其他信號歸納使用。 以下爲學習代碼 ,儘量把時序邏輯跟組合邏輯分開 //計數

原创 FPGA學習筆記-------狀態機結構01

時序檢測模塊 理解4段狀態機的思路 //四段狀態機 //第一段 //一個always模塊採用同步時序的方式描述狀態轉移 always @(posedge clk or negedge rst_n) begin if(!rs

原创 FPGA學習筆記-------計數器結構03

UART 計數器結構描述uart /計數器結構 //-----------------------------------------------------------------------------------------

原创 FPGA學習筆記-------計數器結構04

//vga結構計數器結構實現 屏幕顯示分辨率爲640480,刷新頻率是60hz要求在屏幕中間顯示一個200200的綠色方框,其他地方顯示黑色; /計數器結構 //----------------------------------

原创 FPGA學習筆記-------計數器結構05

//sccb接口 //計數器 //明德揚定義計數器 //計數器規則1:計數器逐一考慮3要素:初值、加一條件、結束值 //計數器規則2:計數器初值必須爲零 //計數器規則3:使用某一計數器值,必須同時滿足加一條件 //計數器規則4:

原创 switch的mac地址的學習和老化

switch的look up table原理解析 交換機的基本原理是實現兩層交換數據的轉發 。交換機工作於OSI參考模型的第二層,即數據鏈路層。交換機內部的CPU會在每個端口成功連接時,通過將MAC地址和端口對應,生成一張LUT(