原创 虛擬機12.pro安裝並安裝Ubuntu18.04版本

虛擬機12.pro安裝並安裝Ubuntu18.04版本 環境:win10企業版 VMware workstation 12 pro ubuntu 18.04 1.安裝虛擬機VMware workstation 12 pro 首先打

原创 小白pwn之旅之pwnable10

Pwnable-----Brain Fuck 1.準備 將這兩個文件下載到ubuntu. 什麼是.so文件? SO文件格式即ELF文件格式,它是Linux下可執行文件,共享庫文件和目標文件的統一格式。這個像是一個依賴。 2

原创 小白pwn之旅之pwnable09

pwnable----unlink,blukat和horcruxer unlink 看源代碼 #include <stdio.h> #include <stdlib.h> #include <string.h> typedef

原创 小白pwn之旅之pwnable08

pwnable----cmd2和uaf cmd2 開局還是個坑,還要將cmd1的flag做出來才能連上ssh 這題和遷移題cmd1差不多主要是多了一個過濾‘/'這個 這是大佬不錯的解題思路 就能cat flag uaf 由於

原创 小白pwn之旅之pwnable06

pwnable-----coin1和blackjack coin1 看題,連上去,發現要做題還要在60秒做一百題(怎麼可能嘛) 分析就寫腳本開始,(題中又說網絡不好可以在服務器端寫,嘿嘿) 那直接連接上一題 ssh

原创 小白pwn之旅之pwnable07

pwnable----lotto和cmd1 lotto 連上去看源代碼,發現關鍵代碼 分析 輸入一個 6 字節的字符串,與程序隨機生成的 6 字節字符串比較。 看第一個for循環這裏是一個acsii碼1-45,但可顯示的是從3

原创 小白pwn之旅之pwnable05

pwnable-----mistack和shellshock pwnable 看源碼 #include <stdio.h> #include <fcntl.h> #define PW_LEN 10 #define XORKE

原创 小白pwn之旅之pwnable03

pwnable—passcode和random passcode 1.先看代碼 #include <stdio.h> #include <stdlib.h> void login(){ int passcode

原创 小白pwn之旅之pwnable04

pwnable-----input和leg input 由於上傳文件在遠端無法link flag文件,我放棄了。這裏放一個博主寫得好的解題思路。 寫得很好 leg 這是一道彙編題只有當key=key1+key2+key3就能

原创 小白pwn之旅之pwnable02

pwnable----bof和flag bof是溢出 flag是加了殼 bof 直接訪問上面的兩個網址,下載bof和bof.c。用file bof查看bof文件,並用IDA打開 2.查看源代碼bof.c #includ

原创 小白pwn之旅之pwnable01

pwnable----fd和collsion 本人是萌新無意間看到這個pwnable.kr的網站,來學習學習,嘿嘿。這裏是鏈接 這裏的一個小tip。由於我用的是Ubuntu18.04版本在用ssh連接時報錯,記錄一下解決方法。 v

原创 xshell安裝及使用

xshell安裝和使用 一 安裝xshell 這裏是下載連接 郵箱一定是真實的 在你的郵箱中會有一個網址,點擊就可以下載。 安裝就是傻瓜式的安裝,一直next 二 使用xshell 首先檢測自己的liunx系統安裝有ssh(這

原创 VHDL複習

VHDL複習之路 一.程序結構與數據對象 程序結構: 庫與程序包的調用語句構成的模塊 描述電路信號端口和參數通道的實體 具體描述電路功能的結構體 庫:library ieee; use ieee.std_logic_arith.a

原创 mysql的簡單使用

MySQL簡單使用* 最近一個月在學習MySQL數據庫的簡單操作,在這裏寫一些自己的心得提會,有錯改之,無則加勉。 MySQL數據庫的安裝, 這裏我使用的phpstudy_pro集成環境,它有php,MySQL和apache組成,

原创 STM32複習之路——按鍵控制流水燈中斷

stm32複習之路1 STM32性能與結構 這裏介紹的是STM32F103VET6,價格便宜,實用性強,其中的V爲100引腳,E表示512K的FLASH,T表示封裝形式爲LQFP,6表示可以用的溫度範圍。 系統結構分析 1.Cor