原创 C/C++ 中fopen_s與fopen

在定義FILE * fp 之後,fopen的用法是: fp = fopen(filename,"w")。而對於fopen_s來說,還得定義另外一個變量errno_t err,然後err = fopen_s(&fp,filename,

原创 verilog打印 數據類型的語法

下面是verilog打印的語法 轉義符     意義說明 %d 以及 %D 以十進制格式輸出 %b 以及 %B 以二進制格式輸出 %o 以及 %O 以八進制格式輸出 %h 以及 %H 以十六進制格式輸出 %s 以及 %S 以字符

原创 時鐘類型

ic設計過程中,以及模塊的劃分之中,甚至版圖的時候,我們都要確定系統有多少時鐘,以及這些時鐘的類型,和他們的source。  下面介紹下astro做cts的時候 ,要明確的一些時鐘的類型: 1.overlapping clock

原创 【C++ STL】算法 中各種算法解析

一,巡防算法         for_each(容器起始地址,容器結束地址,要執行的方法) [html] view plaincopy #include <iostream>   #includ

原创 代碼規範

· 背景 Google的開源項目大多使用C++開發。每一個C++程序員也都知道,C++具有很多強大的語言特性,但這種強大不可避免的導致它的複雜,這種複雜會使得代碼更易於出現bug、難於閱讀和維護。 本指南的目的是通

原创 std::list

使用標準的std::list進行容器數據處理時,操作比較底層。我們可以,減少引用標準MFC標準庫,減少系統的大小,但同時也存在有不方便的操作之處,這裏同大家分享一些使用心得......       在使用std::list<>鏈表時,難

原创 getcwd

函數簡介 UNIX C函數 ▪ TC2.0的範例 ▪ VC++6.0的範例 ▪ VS2008的範例 1函數簡介編輯 函數名稱:_getcwd(在TC2.0下爲getcwd) getcwd 函數原型:ch

原创 std::string

  標準模板庫(STL)提供了一個std::string類,其是std::basic_string的一個特化,它是一個容器類,可把字符串當作普通類型來使用,並支持比較、連接、遍歷、STL算法、複製、賦值等等操作,這個類定義在<stri

原创 printf、sprintf與fprintf 的用法區分

1: fprintf() #include <stdio.h>  int fprintf( FILE *stream, const char *format, ... ); fprintf()函數根據指定的format(格式)發送信

原创 std::set

std::set作爲標準庫的一個關聯容器,實現內部元素進行了排序,使用這特性可以對一組元素進行插入排序。std::set最初的設計是完成數學中“集合”的概念,它提供的接口也是如此。本文簡單地介紹一下這一個標準庫容器。 爲了使用std::s

原创 VHDL的數據結構

   6.2  VHDL的數據結構 VHDL定義了常量、變量和信號三種數據對象,並規定每個對象都要有唯一確定的數據類型。下面從標識符、數據對象、數據類型和表達式幾個方面介紹一下VHDL的數據結構。 6.2.1  標識符

原创 std::map

映射和多重映射基於某一類型Key的鍵集的存在,提供對T類型的數據進行快速和高效的檢索。對map而言,鍵只是指存儲在容器中的某一成員。Map不支持副本鍵,multimap支持副本鍵。Map和multimap對象包涵了鍵和各個鍵有關的

原创 FPGA之ODDR

通過oddr把兩路單端的數據合併到一路上輸出 上下沿同時輸出數據 上沿輸出a路下沿輸出b路  如果兩路輸入信號一路恆定爲1,一路恆定爲0,那麼輸出的信號實際上就是輸入的時鐘信號 ODDR Primitive: