原创 UVM疑惑解答第二季

寄存器模塊驗證中常見的測試點有哪些? 檢查寄存器的復位值; 需要檢查寄存器的域常見讀寫屬性; 檢查每個寄存器的地址映射關係是否正確; 檢查寄存器的反饋是否及時準確,這一點需要檢查硬件狀態信號是否連接到寄存器端,如果是更新方式是主動更新,那

原创 給芯片行業新人的一些建議

來源:內容來自公衆號「白山頭講IC」,謝謝 。         又到了畢業季,作爲過來人,這裏給大家一點建議。 我的經歷 我職場經歷還算豐富。 想當初剛畢業的時候,進入了一個大型跨國公司, 後來又去過兩家大型外企,一家上市民企,一家創業公

原创 UVM Tutorial for Candy Lovers – 18. Configuration Database Revisited

In the post, Configurations, we looked at the configuration flow of the jelly bean verification. We also looked at the

原创 UVM Tutorial for Candy Lovers – 21. TLM 1 Example

In the previous post, we looked at an overview of the TLM 1 classes. This post will give you a sample code using some o

原创 UVM Tutorial for Candy Lovers – 25. Using a C-Model

We often use a C-model as a reference model. Thanks to the direct programming interface (DPI) of SystemVerilog, using C

原创 UVM Tutorial for Candy Lovers – 30. Back of the Back Door

In the earlier posts (Register Access through the Back Door and Backdoor HDL Path), we used configure, add_hdl_path and

原创 UVM Tutorial for Candy Lovers – 8. Configurations

This post will give an explanation on UVM configuration objects, since the earlier posts did not cover much on them. Th

原创 UVM Tutorial for Candy Lovers – 12. Analysis Port

This post will explain how analysis port and analysis export work. In Agent, we connected the analysis port (jb_ap) of

原创 UVM Tutorial for Candy Lovers – 24. Register Access through the Back Door

This post will add back-door access to the registers defined in Register Abstraction. With a few additional lines of co

原创 UVM Tutorial for Candy Lovers – 23. Jelly Bean Taster in UVM 1.2

My first series of UVM tutorials (#1 to #6) was posted more than three years ago. Since then, UVM (and my knowledge abo

原创 UVM Tutorial for Candy Lovers – 11. Sequence Item Port

A UVM driver and a UVM sequencer are connected using a UVM sequence item port and an export. This post will explain how

原创 UVM Tutorial for Candy Lovers – 14. Field Macros

This post will explain how UVM field macros (`uvm_field_*) work. In Transactions and Sequences, we used the UVM field m

原创 UVM Tutorial for Candy Lovers – 5. Environment

This post will provide a continued explanation on the rest of the verification components. Subscribers Functional Cover

原创 UVM Tutorial

UVM https://www.chipverify.com/uvm/uvm-tutorial https://www.verificationguide.com/p/uvm-tutorial.html http://www.testbe

原创 UVM Tutorial for Candy Lovers – 28. Message Logging

In the previous article, we explained how to filter messages using a verbosity threshold. This article explains how to