原创 背景模型的顏色和紋理特徵的融合算法

參考文獻來源:H. Zhang, D. Xu, “Fusing Color and Texture Features for Background  Model,” Third International Conference on F

原创 離散系統的差分算子描述

離散系統的差分算子描述差分算子E的定義離散系統的差分算子方程描述離散系統的數學模型是n階常係數線性差分方程,表示如下:由後向差分方程形式得算子方程:傳輸算子算子方程也可寫成:進一步寫成:系統的傳輸算子H(E):H(E)的E正冪形式:關於

原创 進程-狀態&數據描述&管理&模式切換

進程的提出操作系統必須全方位地管理計算機系統中運行的程序因此,操作系統爲正在運行程序建立一個管理實體——進程進程的概念進程是一個具有一定獨立功能的程序關於某個數據集合的一次運行活動進程是操作系統進行資源分配和調度的一個獨立單位進程的概念

原创 二極管及其簡化模型 & 二極管基本電路

二極管及其簡化模型二極管(1) 點接觸型二極管PN結面積小,結電容小,用於檢波和變頻等高頻電路。(2) 面接觸型二極管PN結面積大,用於工頻大電流整流電路。二極管的I-V特性二極管的主要參數最大整流電流IF反向擊穿電壓VBR反向電流IR

原创 FPGA驅動LCD顯示中文字符“年”程序

FPGA驅動LCD顯示中文字符“年”程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.

原创 運算放大器及其信號放大

運算放大器及其信號放大運算放大器基本特性1.電路符號2.端口意義3.外部電源連接運算放大器正常工作時,必須提供工作電源,通常正負電源的連接方式爲4.實際運放外部引腳實例5.運算放大器的電路模型6.運算放大器的傳輸特性注意輸入輸出的相位關

原创 ADC0809 VHDL控制程序

ADC0809 VHDL控制程序ADC0809簡介Connection DiagramsBlock Diagram模擬信道選擇工作過程首先輸入3位地址,並使ALE=1,將地址存入地址鎖存器中。此地址經譯碼選通8路模擬輸入之一到比較器。S

原创 FPGA 驅動 LED 靜態/動態顯示

FPGA 驅動 LED 靜態顯示功能:譯碼輸出模塊,LED爲共陽極接法library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_

原创 URAT通信原理簡述 & VHDL程序實現

UARTUART (Universal Asynchronous Receiver/Transmitter)代表通用異步接收器/發送器。 這不是像SPI和I2C這樣的通信協議,而是微控制器中的物理電路或獨立IC。 UART的主要目的是發

原创 信號與系統——基本信號與基本響應

基本信號與基本響應離散信號表示1.圖形表示(tk- tk-1)爲採樣間隔,在圖a中爲變數;在圖b,c中爲常數2.解析表示3.集合表示單位脈衝序列1.單位脈衝序列(單位樣值序列/單位取樣序列)位移單位脈衝序列:2.運算3.取樣性質4.偶函

原创 信號與系統——卷積和

卷積和序列的時域分解任意離散序列 f(k) 可表示爲卷積和公式卷積和的定義已知定義在區間 (–∞,∞) 上的兩個函數f1(k)和f2k),則定義爲f1(k)與f2(k)的卷積和,簡稱卷積;記爲注意:求和是在虛設的變量 i 下進行的, i

原创 多線程技術&KLT與ULT&多線程實現混合策略

單線程結構進程•傳統進程是單線程結構進程單線程結構進程的問題單線程結構進程在併發程序設計上存在的問題:• 進程切換開銷大• 進程通信開銷大• 限制了進程併發的粒度• 降低了並行計算的效率解決問題的思路• 把進程的兩項功能,即“獨立分配資

原创 放大電路模型

放大電路模型1.信號放大時電路的一般構成需要供電電源;是雙口網絡接地符號的含義電路中的電位參考基準點,定義爲零電位也是輸入、輸出和電源的“共同端”2.放大電路增益形式3.放大電路模型放大電路是一個雙口網絡。從端口特性來研究放大電路,可將

原创 信號與系統——差分方程的建立及經典解法

離散系統的解析描述--建立差分方程1. 差分的定義移位序列:設有序列f(k), 則… , f(k+2), f(k+1), f(k-1),f(k-2),… 等稱爲f(k)的移位序列。差分運算:一階前向差分定義:一階後向差分定義:我們主要用

原创 TLC5510、DAC0832、TLC7524 接口電路VHDL程序

TLC5510接口電路VHDL程序 --功能:基於VHDL語言,實現對高速A/D器件TLC5510控制 library ieee;use ieee.std_logic_1164.all; entity tlc5510 is port(c