vc707 MicroBlaze Helloworld 實驗

建立一個新工程,Boards 中選擇vc707的開發板。



點擊Flow Navigator中的IP INTEGRATOR,然後選擇 Create Block Design


在Diagram中選擇Add IP

 

搜索Microblaze 並雙擊打開


點擊Run Block Automation


Preset 中選擇MicroController,Debug Module更改爲Debug & UART



點擊工具欄的 Regenerate Layout 進行重新佈局



手動添加時鐘和復位信號,在clk_wiz_1模塊左邊的CLK_IN_D端單擊鼠標右鍵 選擇 “make External”;

reset端口同樣處理,連接時鐘模塊的reset信號;(在rst_clk_wiz_1_100M模塊ext_reset_in pin腳處單擊鼠標,然後連線,也可以右鍵選擇 “make connection ”然後選擇相應信號。

在source 欄中選擇system_1 右鍵選擇-> Create HDL Wrapper 把MicroBlaze 系統包起來,彈出對話框後點擊OK。

新建約束文件,配置時鐘和復位引腳輸入信號。

set_property PACKAGE_PIN E19 [get_ports CLK_IN1_D_0_clk_p]
set_property IOSTANDARD LVDS [get_ports CLK_IN1_D_0_clk_p]

set_property PACKAGE_PIN E18 [get_ports CLK_IN1_D_0_clk_n]
set_property IOSTANDARD LVDS [get_ports CLK_IN1_D_0_clk_n]

set_property PACKAGE_PIN AV40 [get_ports reset_0]
set_property IOSTANDARD LVCMOS18 [get_ports reset_0]

選擇工具欄中的Validate Design按鈕



選擇 PROGRAM AND DEBUG中的 Generate Bitstream

點擊File -> Export -> Export Hardware ,單擊 Generate Output Products,點擊OK,選中 Include bitstream,點擊OK。


點擊File -> Launch SDK ,點擊OK


點擊File -> New -> Application Project ,輸入項目名稱,點擊Next


選擇Hello World 的模板,點擊Finish

點擊 Xilinx Tools -> Program FPGA,點擊 Program。


點擊Run ,Run configuration,雙擊 Xilinx C/C++ application(GDB)


點擊Application,Project Name 瀏覽選擇helloworld項目

STDIO Connection,勾選 Connect STDIO to console,Port 選擇 JTAG UART,點擊RUN。


之後能夠看到console 中打印出相應的log。



發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章