verilog防綜合語句設置

我在XST綜合時,未接輸出端口的寄存器被優化掉了,
如何防止ISE綜合時你想抓取的信號不被優化掉:

1.右鍵synthesis,在綜合選項裏將keep hierarchy選擇YES ,或者選擇soft(在綜合時保持層次,在實現時有利用ISE軟件自動進行優化),這樣有利於你從模塊中找到你想抓取的信號和信號名不被更改。

2.在Constraints Guide中,有防止信號被優化掉的說明。具體在X:\Xilinx\13.4\ISE_DS\ISE\doc\usenglish\isehelp文件夾下。裏面介紹瞭如何解決信號被優化的問題。其實ISE的工程設置有“keep_hierarchy”。在程序裏面,也可以通過添加一些語句。如果是Verilog :

      Place the Verilog constraint immediately before the module or instantiation . 
      Specify the Verilog constraint as follows:
      (* KEEP = “{TRUE|FALSE |SOFT}” *)

假如我們要觀察的一個信號cnt:reg [10:0] cnt;,那麼就按照文檔中的介紹,要保持此信號不被綜合,則:
(* KEEP = “TRUE” ) reg [10:0] cnt ,或者 ( keep= “true” *) reg [10:0] cnt
這樣就可以實現ChipScope的觀察而不被優化掉了。類似的VHDL:

     Declare the VHDL constraint as follows:
     attribute keep : string;
    Specify the VHDL constraint as follows:
    attribute keep of signal_name: signal is “{TRUE|FALSE|SOFT}”;

當然,這些都是針對ISE的綜合器XST的,如soft只有在XST裏纔可以使用,其它的綜合工具,可以參看相關的文檔,這些問題都有專業而又明確的說明。

一、使用Xilinx公司的ChipScope
  使用ChipScope觀察芯片內部的信號的之前先要在把需要觀察的信號添加到ChipScope信號觀察列表當中。也就是說,我們必須能夠在綜合的網表文件中找到相應的信號。如果是使用XST綜合的話,最好保留芯片內部結構的層次,這樣就可以在相應的子模塊查找需要觀察的信號。默認情況下,Chipscope只能觀察reg類型的信號。但是通過設置屬性也是可以觀察wire型信號的。使用不同的綜合工具需要添加的屬性也不一樣。
1、使用XST綜合。
(1)對於reg型信號,如果被ISE優化掉,一般有可以把這個信號和其他沒有被優化的信號進行“與”、“或”等操作。這樣就可以達到觀察信號的目的。
(2)對於wire型號,對於ISE12.3以後的版本,XST綜合,以Spartan3爲例,可以使用(* KEEP=”TRUE”*) wire [15:0] CPLD_ AD;這樣就可以在查找信號的信號找到wire類型的CPLD _AD信號進行觀察。
2、使用Synplify Pro綜合
Synplify Pro對wire、reg類型的信號有着不同的綜合屬性。
(1) 對於wire型信號,使用/* synthesis syn_keep=1 */綜合屬性,例如下面的語句:
wire [7:0] data_in /* synthesis syn_keep=1 */;
(2) 對於reg型信號,使用/* synthesis preserve = 1 */綜合屬性,例如下面的語句:
reg [7:0] data_in /* synthesis preserve = 1 */;

二、使用Altera公司的SignalTap
1、使用Altera自帶的綜合器綜合
Altera自帶的綜合器爲了防止某些信號綜合器優化掉,也有自己的一套綜合約束屬性。
(1)對於reg型信號,爲了防止Altera自帶綜合器將其優化掉,可以添加noprune屬性。這樣就可以防止某些寄存器信號被優化掉。也可以使用/synthesis noprune/綜合屬性。
複製代碼
`include “define.v”

module SignalTap_test(

            Clk     ,

            Rst     ,

            Cnt                        

            ) /*synthesis noprune*/ ;

input wire Clk ;

input wire Rst ;

output reg [7:0] Cnt ;

always@(posedge Clk or posedge Rst)

begin

if( Rst == 1'b1)

    Cnt <= 8'h0;

else

    Cnt <= #`ULDY Cnt + 1'b1;

end

endmodule
複製代碼

如上例(假設Cnt信號會被優化掉),這樣添加綜合屬性之後,整個module的reg信號都不會被優化掉。
跟reg相關的綜合屬性,除了/synthesis noprune/可用,還有一個/synthesis preserve/可用
二者的差別在於:
/synthesis noprune/ 避免Quartus II優化掉output 型的reg信號。
/synthesis preserve/ 避免Quartus II把reg信號當成VCC或者GND等常數
同時單獨的reg信號也可以: (preserve) reg [3:0] cnt;防止被優化掉。
(2) 對於wire類型的信號
對於wire型信號來說,要想觀察此類信號,Altera綜合器提供了/synthesis keep/ 綜合屬性。如 wire [7:0] Cnt /synthesis keep/; 對於Quartus II 9.0以後的版本也可以使用(“keep”) wire [7:0] Cnt ;的寫法。
此外,/synthesis keep/也支持對reg型信號,使用它也可以防止reg型信號被優化掉。但是也有可能出現這樣的情況,有的信號即使經過此處理,仍然會被綜合工具優化掉,致使無法找到它。這個時候就需要對其使用“測試屬性”,可以加入probe_port屬性,把這兩個屬性結合在一起,即就是:
( synthesis, probe_port,keep ) 即可,這種方法同時適應於wire和reg型信號類型。
2、使用Synplify Pro綜合
使用Synplify Pro綜合時防止信號被優化掉的方法和使用Xilinx公司 ChipScope使用Synplify Pro綜合時添加的綜合約束一樣,因爲Synplify Pro是專業的綜合廠商,所以對主流的FPGA廠商都支持。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章