Modelsim10.4中UVM驗證環境的搭建

本例子中使用張強的《UVM實戰》中的代碼:

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\my_driver.sv

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\top_tb.sv

        example_and_uvm_source_code\puvm\src\ch2\dut\dut.sv

上述代碼下載鏈接:https://download.csdn.net/download/sinde5/10516409

上述三個文件組成了一個簡單的UVM測試環境,包括待測設備dut,測試driver,以及測試頂層top_tb。

1. 我們將上述代碼複製到我們的工程文件夾:D:/modelsim10.4/Project/uvm0

2. 打開Modelsim10.4並改變Work lib的目錄到D:/modelsim10.4/Project/uvm0

    如下圖,選擇File-->Change Directory


選擇完成之後,可以看到Work lib的Path已經變到工程目錄了

3. 在Modelsim下方的Transcript欄中輸入: vlib work

4. 設置環境變量:UVM目錄和工作目錄:

set UVM_HOME D:/Modelsim10.4/verilog_src/uvm-1.1d

set WORK_HOME D:/Modelsim10.4/Project/uvm0

5. 將示例代碼進行編譯:

 vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/dut.sv top_tb.sv

6. 運行仿真:

vsim -novopt -c -sv_lib D:/Modelsim10.4/uvm-1.1d/win64/uvm_dpi  work.top_tb


7. 完成上述步驟,就進入到Modelsim的仿真環境,可以進行仿真驗證工作了

        

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章