ModelSim使用$display查看變量值和輸出信息

  1. 打開ModelSim,新建工程->新建Verilog文件demo.v
    輸入文件內容
module demo();
    reg[3:0]    a,b;
    initial
        begin
        $display("Hello, World");
        a = 2;
        b = 5;
        $display("answer = %b", (a+b));
        end
endmodule

2.依次點擊 編譯和仿真

3. 選擇work->demo

4. 在transcript裏輸入run查看$display結果

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章