ModelSim仿真教程

本文章詳細介紹了怎樣用ModelSim仿真Verilog,雖然只是很簡單的一個二分頻器的例子,但卻正式小白入門所需要的。

本教程以ModelSim SE 10.4爲例

1. 新建工程

file->new->project,輸入工程名 例如half_clk

2. 新建文件

新建二分頻器模塊文件和testbench文件,分別爲half_clk和half_clk_tb,注意type選擇Verilog。

3. 編寫模塊文件和激勵文件內容

half_clk.v

half_clk_tb.v

4. 編譯文件

編譯前一定注意要保存文件!! 【ctrl+s】

點擊工具欄按鈕,編譯全部文件,如果文件名後的status顯示√就表示沒有語法錯誤,編譯成功。

否則

如果有錯誤可以雙擊紅色的error查看具體的錯誤信息。

5. 工程仿真

點擊工具欄的Simulation按鈕進行仿真。

 

選擇work->half_clk_top,因爲只需要對testbench文件進行仿真就行,取消左下角的優化選項,因爲可能會把object框裏的信號量優化掉.

 

 

在 instance裏顯示了testbench的文件結構,有一個always和一個initial,調用的half_clk裏有個一always循環。

 

在信號量上 右鍵->add > To Dataflow > Selected Items可以查看設計圖

如果ware裏沒有信號可以右鍵->add wave添加,然後設置運行時間5ms,點擊run,就會顯示波形。

 

可以看到分頻器正確運行,輸出頻率是輸入頻率的一半。

 

 

 

發佈了29 篇原創文章 · 獲贊 17 · 訪問量 10萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章