eth 在線生成 input data

訪問網址

https://abi.hashex.org/#

例如

https://etherscan.io/tx/0xea64139cee19d0d1222ce0ccd4ddbc8d64d65e725262f0e4b21d01c98639d868

# Name Type Data
0 _to address 8e7e315fd8965b0fadc7c404307a55d5a6ccf155
1 _value uint256 1366240200000000000

abi.json

[
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "name",
        "outputs": [
            {
                "name": "",
                "type": "string"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "golemFactory",
        "outputs": [
            {
                "name": "",
                "type": "address"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "totalSupply",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            {
                "name": "_master",
                "type": "address"
            }
        ],
        "name": "setMigrationMaster",
        "outputs": [
            
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "decimals",
        "outputs": [
            {
                "name": "",
                "type": "uint8"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            {
                "name": "_value",
                "type": "uint256"
            }
        ],
        "name": "migrate",
        "outputs": [
            
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            
        ],
        "name": "finalize",
        "outputs": [
            
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            
        ],
        "name": "refund",
        "outputs": [
            
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "migrationMaster",
        "outputs": [
            {
                "name": "",
                "type": "address"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "tokenCreationCap",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            {
                "name": "_owner",
                "type": "address"
            }
        ],
        "name": "balanceOf",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            {
                "name": "_agent",
                "type": "address"
            }
        ],
        "name": "setMigrationAgent",
        "outputs": [
            
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "migrationAgent",
        "outputs": [
            {
                "name": "",
                "type": "address"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "fundingEndBlock",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "totalMigrated",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "symbol",
        "outputs": [
            {
                "name": "",
                "type": "string"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            {
                "name": "_to",
                "type": "address"
            },
            {
                "name": "_value",
                "type": "uint256"
            }
        ],
        "name": "transfer",
        "outputs": [
            {
                "name": "",
                "type": "bool"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "tokenCreationMin",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "funding",
        "outputs": [
            {
                "name": "",
                "type": "bool"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "tokenCreationRate",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": true,
        "inputs": [
            
        ],
        "name": "fundingStartBlock",
        "outputs": [
            {
                "name": "",
                "type": "uint256"
            }
        ],
        "payable": false,
        "type": "function"
    },
    {
        "constant": false,
        "inputs": [
            
        ],
        "name": "create",
        "outputs": [
            
        ],
        "payable": true,
        "type": "function"
    },
    {
        "inputs": [
            {
                "name": "_golemFactory",
                "type": "address"
            },
            {
                "name": "_migrationMaster",
                "type": "address"
            },
            {
                "name": "_fundingStartBlock",
                "type": "uint256"
            },
            {
                "name": "_fundingEndBlock",
                "type": "uint256"
            }
        ],
        "type": "constructor"
    },
    {
        "anonymous": false,
        "inputs": [
            {
                "indexed": true,
                "name": "_from",
                "type": "address"
            },
            {
                "indexed": true,
                "name": "_to",
                "type": "address"
            },
            {
                "indexed": false,
                "name": "_value",
                "type": "uint256"
            }
        ],
        "name": "Transfer",
        "type": "event"
    },
    {
        "anonymous": false,
        "inputs": [
            {
                "indexed": true,
                "name": "_from",
                "type": "address"
            },
            {
                "indexed": true,
                "name": "_to",
                "type": "address"
            },
            {
                "indexed": false,
                "name": "_value",
                "type": "uint256"
            }
        ],
        "name": "Migrate",
        "type": "event"
    },
    {
        "anonymous": false,
        "inputs": [
            {
                "indexed": true,
                "name": "_from",
                "type": "address"
            },
            {
                "indexed": false,
                "name": "_value",
                "type": "uint256"
            }
        ],
        "name": "Refund",
        "type": "event"
    }
]

 

 

 

如上圖

0xa9059cbb0000000000000000000000008e7e315fd8965b0fadc7c404307a55d5a6ccf15500000000000000000000000000000000000000000000000012f5dc3926dbd000

 

相等

大功告成!

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章