ncverilog和verdi結合使用dump fsdb

VCS無法使用的問題困擾了好久,暫且放下,下面要搞定ncverilog和verdi結合使用dump fsdb的問題。

其實很簡單,如果正確安裝了Ncverilog和Verdi,只需要兩步就可以搞定。

1.設置環境變量,也就是把Verdi的PLI庫設置起來:

export LD_LIBRARY_PATH=/usr/cad/verdi-2012.10/share/PLI/IUS/LINUX/boot:$LD_LIBRARY_PATH

2.在Ncverilog的仿真命令里加入command如下:

+ncaccess+rwc +loadpli1=debpli:novas_pli_boot

比如ncverilog test_top.v +ncaccess+rwc +loadpli1=debpli:novas_pli_boot

並且在test_top.v的initial塊種加入fsdbdump的命令

initial begin
  $fsdbDumpfile("system.fsdb");
  $fsdbDumpvars(0,test_top);

end

順帶說下VCS結合Verdi使用fsdbdump的方法:

只需要在命令行中加入 -P /usr/cad/verdi-2012.10/share/PLI/VCS/LINUX/novas.tab  /usr/cad/verdi-2012.10/share/PLI/VCS/LINUX/pli.a即可。

其實這些在Verdi的安裝文檔中都有說明,還是工具本身提供的原始文檔寫得最詳細。

發佈了20 篇原創文章 · 獲贊 20 · 訪問量 9萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章