哥寫的不是代碼,是藝術!

本文來自http://blog.csdn.net/lijun84 ,引用必須註明出處!

 

 

這陣子終於可以精雕細琢的編寫一些想了很久的東西,沒有 schedule 的壓力,沒有 QA 的追殺,沒有強迫自己實現的需求,這種感覺久違了,很喜歡那種體味每句代碼並精心雕琢它們的感覺。

順便把很久前就想抒發的代碼情節釋放出來。純粹個人抒發,別 challenge 我喔 我不回覆的

 

從純藝術的角度抒發一下代碼美學:

一段美的代碼是當你初看它時感覺似曾相似,與你期望對此功能的實現方式基本相符,當你讀到更多其它部分時,不斷的感嘆原來它還爲這些獨立部分做了這麼巧妙的貢獻啊,當你讀完整個系統後發現原來很多這樣的代碼部分都這麼的自然和諧並有層次的關聯着但又不過份耦合。它們是那麼的恰到好處,一點不多,一點不少。頓時一股熱流從內心涌向頭部,就像一種讓能讓你舒服的電流釋放到全身。同時發自內心的感嘆它真的是一部藝術品。

 

再從代碼設計角色考慮下:

也許一些資深的設計者會認爲這種大量影響到其它部分的代碼會不會破壞很多設計準則,變的太耦合? 我的答案是,不必太追求解耦,只要它給你感覺是自然的,是能經的起長時間維護的,它就是美的。

 

碎語論代碼:

看似好的設計,不一定真的那麼“好”

看似差的代碼,不一定真的那麼“壞”

所以不要對一個設計或一段代碼過早的下結論。

 

不要讓任何一種風格或設計理念主宰你的所有 項目。

 

設計模式和理念要考慮,要融入,但它一定要是必須的,自然的,和諧的。因爲自然的東西本身就隱含了穩定和可維護性。

 

佛祖億萬化身,觀音三十六相,講的是“妙用”。

 

我的親身例證(用感覺寫代碼):

也許代碼向來都是邏輯性很強的學問,往往和浮想聯翩的小說家或者畫家詩人格格不入。以前我這麼認爲過,但經過一次事改變了我的看法。

06 年的夏天,和朋友吃過燒烤喝了不少啤酒,有些醉意,到家後突然有了寫代碼的激情,打開顯示器,桌面在眼前晃動鼠標亂跳(你懂得),我就像詩人一樣,寫起了代碼,也不知道什麼時候,怎麼睡着了。其實第二天醒來真的什麼也記不起,只有點點小印象,我昨晚醉了還坐在電腦前的。但我拾起工作看到醉酒時寫的那段代碼,我震驚了 這樣的羣映射算法絕對不可能在我無意識狀態實現的呀!就算我狀態好時也不一定能寫出這樣漂亮的算法和代碼來。它是我寫的嗎?我疑惑了。

 

經過這些年的感悟,我終於明白了:

接近純粹的“感覺”不是你。你可以借用,因爲它的處理能力和速度大大超出我們。前提是請相信感覺。就像我們生活的系統提供的 API 。有 API 我們爲何還要重新實現?不要像尼采一樣,用自己的大腦創造整個世界,變瘋了

 

所有領域簡單歸納到三個境界:

經過大量積累和努力可以比較容易到達藝術的境界

經過多種學科和知識領域融合抽象可以進入哲學境界

經過體悟修心悟道進入無限接近道的境界

 

《入侵的藝術》一書中我最有同感的一段:

Adrian的過人之處:
Adrian不是一名典型的黑客,他對任何程序語言都不是很熟練。他的成功依靠分析別人如何思考,如在網絡管理員建立網絡結 構時,思考他們會怎樣建立系統,以及系統會使用什麼程序。儘管 他描述自己瞬間記憶很差,但他能通過探查公司的Web應用程序, 找到漏洞進行登錄,耐心地建立起心理圖表,弄清這些部件怎樣連入侵的藝術接,直到所有的問題都全部顯現。而公司認爲這些問題隱藏在不可 進入的黑暗處,可以安全地抵制入侵。

 

他自己的描述出乎人們的意料:我確信所有複雜的系統都有共同的屬性,不管是一臺計算機或是整個宇宙。我們自己作爲系統的一個方面,分享着這些共性。如 果你能對這些模式有潛意識的感覺,理解它們,它們就會聽你的, 帶領你進入奇妙的境地。

 

充當黑客對於我來說,不是一門技術,更多的是一種信仰。


我的猜想:

也許意識,思維,邏輯,數學都只是大腦這個人體器官的感覺。

發佈了43 篇原創文章 · 獲贊 5 · 訪問量 15萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章