debussy 使用

 modelsim是windows比較常用的仿真工具,雖然編譯沒有VCS快,但是比較方便。但是調適能力卻比不debussy,而debussy沒有編譯能力,所有一般設計者在windows下習慣使用modelsim+debussy.本文將詳細介紹Modelsim+debussy調試基本步驟:

Modelsim階段:

a.將debussy安裝目錄下的\share\PLI\modelsim_fli54\WINT下的novas.dll拷貝到modelsim安裝目錄下的win32文件加下。

b.將modelsim安裝路徑下的modelsim.ini中的;Veriuser = veriuser.sl,改爲Veriuser=novas.dll,注意吧“;”去掉。

c.在testbench中寫入initial begin $fsdbDumpfile("wave.fdsb"); $fsdbDumpvars; end

d.仿真testbench後,在vsim中用quit -sim,結束仿真。如果不這樣,在用debussy添加波形時,不會出現波形。

注意:起初用的是Modelsim SE10.0,但是設置不成功;之後用Modelsim SE6.1 就OK了。
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章