MIPS架構的cpu設計仿真(武漢大學)——2

verilog掌握:語法和C語言類似,看看,不用幾個小時就可以了。

modelsim的簡單使用:

1,新建工程文件New>>project

2,確定工程名以及library名

3,然後創建文件或是導入已存在的文件(爲了後面的仿真演示,所以我這裏就直接導入了寫好的一個在取址模塊

4,導入文件

5,然後編譯文件(選擇全部編譯)

6,進入library工作頁,點擊編譯好的模塊進行仿真

7,選擇要查看的信號,添加監視(我用的VS裏的說法。。。。。)

8,點擊運行

9,觀察波形圖

10,完成基本操作


關於modelsim的踩的坑:

1.     modelsim要先保存,然後才編譯,否則直接點編譯的話,modelsim會給你編譯你保存前的源代碼。。。。。

而且丫的它還會顯示編譯成功(話說當然能成功了,畢竟一開始是空白頁)

我頭一次見如此厚顏(s)無恥(b)的軟件。。。。誰設計的這麼坑的軟件,是不是傻。。。是不是傻。。。。

2. 雙擊編譯錯誤時的error字段就可以知道錯誤點


發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章