EDA筆記(10)--狀態機

目錄

 

一.狀態機的設計基礎

1.狀態機的組成

2.狀態機的基本操作

3.狀態機的種類

二.一般狀態機的VHDL設計

三.一般狀態機的VHDL設計模型

四.狀態機的設計總結


一.狀態機的設計基礎

1.狀態機的組成

2.狀態機的基本操作

(1)狀態機內部狀態轉換。狀態機轉化由狀態譯碼器根據當前狀態和輸入條件決定。
(2)產生輸出信號序列。輸出信號由輸出譯碼器根據當前狀態和輸入條件決定。

3.狀態機的種類

在產生輸出的過程中,由是否使用輸入信號可以確定狀態機的類型。
兩種典型的狀態機是摩爾(MOORE)狀態機和米立(MEALY)狀態機。
摩爾狀態機中,其輸出只是當前狀態值的函數,並且僅在時鐘邊沿到來時才發生變化。
米立狀態機的輸出則是當前狀態值、當前輸出值和當前輸入值的函數。

二.一般狀態機的VHDL設計

爲了能獲得可綜合的、高效的VHDL狀態機描述,建議使用枚舉類數據類型來定義狀態機的狀態,並使用多進程方式來描述狀態機的內部邏輯。
例如可使用兩個進程來描述,一個進程描述時序邏輯,包括狀態寄存器的工作和寄存器狀態的輸出;另一個進程描述組合邏輯,包括進程間狀態值的傳遞邏輯以及狀態轉換值的輸出。必要時還可引入第三個進程完成其他的邏輯功能。

三.一般狀態機的VHDL設計模型

跳轉鏈接:

四.狀態機的設計總結

1.狀態機的設計,最好事先根據各個狀態的輸入、輸出以及狀態轉換條件,先畫出一個狀態圖,再進行編程。
2.狀態機的運行中,信號傳遞的反饋機制的作用是實現當前狀態的存儲和下一個狀態的譯碼設定。
在VHDL中可以有兩種方式來創建反饋機制即使用信號的方式和使用變量的方式,通常傾向於使用信號的方式。
一般地,先在進程中使用變量傳遞數據,然後使用信號將數據帶出進程。

 

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章