Android UART問題解決

[Description]
敝司默認的藍牙解決方案中,藍牙的interface是使用UART3。但有時出於功能需要,會使用UART3來連接其他device,這樣便需要將原本的UART3修改爲其他UART port(通常是修改爲UART2)

[Solution]
(1).Codegen.dws的修改
對於GB2/ICS/ICS2的軟件版本:
選擇UART2的GPIO pin,但是VarName需要仍然填選GPIO_UART_UTXD3_PIN和GPIO_UART_URXD3_PIN
對於JB的軟件版本:
選擇UART2的GPIO pin,VarName填選GPIO_COMBO_UTXD_PIN和GPIO_COMBO_URXD_PIN
(2).*.rc文件的修改
將如下.rc文件中的”ttyMT2”修改爲”ttyMT1”:
alps/mediatek/config/[$Project]/init.project.rc
alps/mediatek/config/[$Project]/meta_init.rc
alps/mediatek/config/factory/etc/init.rc
(3).deep idle部分的修改
對應GB2/ICS/ICS2需要做如下修改:
/mediatek/kernel/drivers/combo/[common|common_mt66218]/platform/alps下的mtk_wcn_cmb_stub_alps.c文件的_mt_combo_plt_do_deep_idle()函數
disable_dpidle_by_bit(MT65XX_PDN_PERI_UART2);
enable_dpidle_by_bit(MT65XX_PDN_PERI_UART2);
修改爲:
disable_dpidle_by_bit(MT65XX_PDN_PERI_UART1);
enable_dpidle_by_bit(MT65XX_PDN_PERI_UART1);
(4).UART2 DMA mode的修改
/alps/mediatek/platform/[mt6575|mt6577]/kernel/drivers/uart下的uart.c文件中修改爲如下:
mtk_uart_default_settings[]=
{
… …
{
.tx_mode = UART_TX_VFIFO_DMA, .rx_mode = UART_RX_VFIFO_DMA, .dma_mode = UART_DMA_MODE_0,
.uart_base = UART2_BASE, .irq_num = UART2_IRQ_ID, .irq_sen = MT65xx_LEVEL_SENSITIVE,
… …
}
… …
}
(即:將UART2的設置修改爲同UART3一致即可)
注:ALPS.ICS2.MP上MT6577可以申請ALPS00366695這個patch
ALPS.JB.MP上可以申請ALPS00393193這個patch
(5).UART2的suspend bit修改
/alps/mediatek/platform/[mt6575|mt6577]/kernel/drivers/uart下的uart.c文件中
mtk_uart_suspend_resume_en[]修改爲如下:
mtk_uart_suspend_resume_en[] = {
1,
0,
0,
1,
};






















[DESCRIPTION]

通過preloader 修改uart log從哪個uart口印出來

[KEYWORDS]

uart log

[SOLUTION]

1.JB5.MP之前的版本
在alps\mediatek\custom\$project\preloader\inc\cust_bldr.h
修改#define CFG_UART_LOG 這個宏定義,例如uart log需要從uart 1 吐出來,則
#define CFG_UART_LOG (UART1)

2.JB5.MP之後的版本
在alps\mediatek\custom\$project\preloader\cust_bldr.mak
修改CFG_UART_LOG


如果修改後不吐uart log,請提交eService並提供以下信息:
1. 檢查 UART 口的 GPIO 配置, 請提供 UART 所在的GPIO口
2. 請提供如下命令的輸出:
adb shell "cat /sys/devices/virtual/misc/mtgpio/pin"
adb shell cat /proc/cmdline

注:User build 默認是不能吐Uart log
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章