Vivado-2015.4保存ila波形方法

關注【電子開發圈】微信公衆號,一起學習吧!

電子DIY、Arduino、51單片機、STM32單片機、FPGA……
電子百科、開發技術、職業經驗、趣味知識、科技頭條、設備拆機……

點擊鏈接,免費下載100G+電子設計學習資料!

http://mp.weixin.qq.com/mp/homepage?__biz=MzU3OTczMzk5Mg==&hid=7&sn=ad5d5d0f15df84f4a92ebf72f88d4ee8&scene=18#wechat_redirect

                                                                                                                                                                                     

 

Vivado2015下debug後的波形通過圖形化界面並不能保存抓取到波形,

保存按鈕只是保存波形配置,如果需要保存波形需要通過TCL命令來實現:


write_hw_ila_data 123 [upload_hw_ila_data hw_ila_1]


123爲保存的文件名,需要帶路徑,hw_ila_1爲你的ILA的名字;

如果要讀取已保存的波形,可以用下面的命令:


read_hw_ila_data 123.ila

display_hw_ila_data

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章