FPGA下Modelsim仿真軟件平臺腳本搭建筆記(1)

FPGA下Modelsim仿真軟件平臺腳本搭建筆記(1)
quit -sim
.main clear

vlib ./lib/
vlib ./lib/work_a/
(work_a爲邏輯庫的根目錄,其名字在仿真腳本代碼裏可以任取)
vlib ./lib/design_s/ (design_s爲另外一個邏輯庫根目錄)

//接下來我們需要進行邏輯庫與邏輯庫根目錄的映射
vmap base_space ./lib/work_a/ (將新建邏輯庫 base_space映射到相應的根目錄下,其中邏輯庫名字可以 任取)
vmap design_space ./lib/design_s/

//接下來我們需要開始編譯
vlog -work base_space ./tb_mealy.v (運用vlog將本地目錄.v文件編譯到base_space 的邏輯庫裏面去,同樣由於base_space庫映射到 work_a邏輯庫根目錄文件夾裏面,所以編譯的指向是在work_a的物理地址裏)
vlog -work design_space ./…/design/* .v
( ./…/design/*.v 兩個…是指do文件向上翻一級選擇design文件進行編譯 *.v的意思是對design文件下的所有.v文件進行編譯,編譯到design_space 的邏輯庫裏去)
在這裏插入圖片描述
//接下來我們就可以啓動仿真了
** #-t指運行仿真時間的精度 **
** #-L連接庫的關鍵字 **
vism -t ns -voptargs=+acc -L base_space -L design_space.tb_mealy.v ( -voptargs=+acc 指啓動一個優化參數 後面的代碼表示如果找不到文件內容,就通過-L在邏輯庫裏面找,同時一定要加入頂層文件.tb_mealy.v)





//最後添加波形與信號
add wave -divider(tb_mealy_1)
add wave tb_mealy/*
add wave -divider(mealy) 狀態機
** #頂層/l例化的名字,其中*號是通配符,匹配所有的信號 **
**add wave tb_mealy/ mealy_inst/ ***




run 1us

好了這期就分享到這裏了 我們下期見88

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章