Linux下使用Altera Quartus II 和 配套 ModelSim 的一些要點

去年開始弄FPGA,一開始在linux下,但總是出問題,後來也忙,沒功夫去琢磨,於是切換到windows下。最近有些時間,再次回到linux下好好研究一番,爭取能用linux完全替代windows做FPGA相關的開發。我的系統是ubuntu18.04,在此記錄一下要點,分享給有需要的人。

 

首先是Quartus 自帶的 ModelSim 不能用,究其原因是因爲自帶的modelsim是32位,而當前大部分linux系統都是64位,且默認不再安裝32位庫。爲了使用自帶的modelsim,需要安裝如下庫:

sudo apt-get install lsb-core libx11-6:i386 libxext6:i386 libxft2:i386 libncurses5:i386

 

但我實在不想在本機安裝32位庫,於是想了個折衷的辦法。我在intelFPGA_lite安裝目錄下新建了個文件夾叫lib,然後從虛擬機裏把如下文件複製到這個文件夾中,最後在.profile文件里加上環境變量LD_LIBRARY_PATH指向這個文件夾

這樣運行modelsim的時候,便會去這個目錄下找動態庫,就可以正常運行了。

 

上面用到的庫文件我打包放在如下地址,需要的人可自行下載。

https://download.csdn.net/download/u014559935/28859077

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章