算法的verilog實現-FIR濾波器的設計實現

上週星期天(12月17日)全網公開課《算法的verilog實現-FIR濾波器的設計實現》
(ps:培訓班大部分是學習設計技巧,如給定功能,如何進行設計等。這纔是FPGA工程師的核心能力!!QQ:8546,18250
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章