Ubuntu11.10配置Modlesim6.5

      最近做畢設需要用到Modelsim進行Systemc和SystemVerilog的混合仿真,在Ubuntu11.10下進行了安裝和簡單的配置。

      首先,安裝過程參考別人的博客:http://forum.ubuntu.org.cn/viewtopic.php?t=315780。摘錄如下:

1、下載安裝包: 

直接在modelsim的官網上下,這裏給比較懶的同學給個地址,需要填寫一些信息過後就能進FTp下載了。http://model.com/content/modelsim-se-high-performance-simulation-and-debug這是modelsim se的下載地址。建議不要下載最新版可能破解有問題,我下的是6.5b

對了還要提醒一下,linux需要下載5個文件分別是install.linux modelsim-base.mis modelsim-docs.mis modelsim-gcc-linux_x86_64.mis modelsim-linux_x86_64.mis(後面這兩個由於我安裝的64位系統,如果不是就下載i386版本),同時還需要下載modelsim-gcc-linux.mis。(再次對modelsim的官網鄙視一下,它每次進入下載的ftp站點都要填寫個人信息,太麻煩了,而且那個站點還比較慢,容易掉線,最好一次把所有要下載的東西都弄下來。)


2、安裝:

用shell執行 install.linux 就可以了。執行之後全是GUI界面非常簡單。到下載文件的目錄下執行代碼:

代碼:
cd ~/Download/modelsim
(這是我的下載文件目錄)

代碼:
sudo ./install.linux

3、就是最重要的和諧了: 

首先在linux下面要使用破解軟件有兩個辦法一個是安裝虛擬機模擬windows,另一個方法是用wine直接在linux下面執行。我建議大家用第二種。首先安裝wine

代碼:
sudo apt-get install wine


然後下載MentorKG.exe 這個破解文件http://bbs.eetop.cn/viewthread.php?tid=223722 這個論壇有下,如果是在不行可以聯繫我。

然後在下載解壓後的文件目錄下執行

代碼:
wine /data/Soft_backup/6.5b/ModelSim6_5_KeyGen/MentorKG.exe
(這是我的目錄)

可能會出現一些error 但是不管他,只需要生成的LICENSE.TXT 這個文件。放在modelsim的安裝目錄下面(當然放在哪裏都無所謂了)。但是要注意一定要在EXT2分區內進行。不然產生的文件肯定有權限問題。我之前裝不上也應該是這個原因了。


最後就是修改環境變量了。 

代碼:
sudo gedit ~/.bashrc
在裏面加入

export LM_LICENSE_FILE=(你放LICENSE.TXT的目錄)/LICENSE.TXT 

export PATH=$PATH:(modelsim的安裝目錄)/bin

可以參考我的

export LM_LICENSE_FILE=/opt/modeltech/LICENSE.TXT 

export PATH=$PATH:/opt/modeltech/bin 

保存退出後執行
代碼:
source ~/.bashrc
最後輸入 
代碼:
vsim
沒問題的話就能進去了。

      按照以上程序安裝完畢好,系統能夠辨別出vsim系列命令,但是執行出錯。

----------------------@ubuntu:~$ vsim
Error: cannot find /host/modelsim/modeltech/bin/../linux_RL4/vsim

經過分析,在安裝路徑下,bin目錄中所有文件均鏈接到安裝路徑的一個腳本文件vco,而實際運行的程序實在linux目錄下。在vco這個腳本中會對系統進行分析,其中186~192按照內核版本進行了分類,由於Ubuntu的版本過高,結果被分到最低的那個系統版本了。這裏修改後以後vsim就可以正常啓動了。

 

       modelsim正常啓動後,運行一個例子時又出了點小差錯,verilog類的語言都可以正確編譯,但是systemc的cpp文件編譯出錯:

modlesim>sccom *.cpp

# Model Technology ModelSim SE sccom 6.5 compiler 2009.01 Jan 22 2009

# ** Error: (sccom-94) Unsupported operating system - Ubuntu 11.10 \n \l. Refer to the ModelSim release notes for a list of supported operating systems.

顯然,這個還是跟操作系統的版本有關係,查閱資料後,解決方案如下:

在/etc目錄下創建名爲redhat-release的文件,在文件中添加一行Red Hat Enterprise Linux ES release 4R,保存好後重新啓動vsim編譯*.cpp通過。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章