二分頻電路Verilog設計

2分頻電路設計

`timescale 1ns/10ps
module div_2(clk,rst,out);
input clk,rst;
output out;

reg q;
always@(posedge clk or negedge rst)
    if(!rst)
        q<=1'b0;
    else
        q<=~q;
assign out=q;
endmodule

測試程序:

module div_2_tb();
reg clk,rst;
wire out;
div_2 wt (.clk(clk), .rst(rst), .out(out));

initial
begin
  rst <= 0;
  #20 rst <= 1;
  #100000 rst <= 0;
end

initial 
begin
  clk = 0;
end

always
  begin
    #10 clk = ~clk;
  end
  
endmodule

 

 

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章