如何在ModelSim中仿真Quartus的bdf文件和IP核

一、Quartus2中內嵌的Simulator與ModelSim仿真的差異?
Quartus2中內嵌的Simulator也可以進行仿真,它可以分爲兩種模式,Functional與Timing。但是這裏的Functional是基於門級網表的功能仿真,並不是HDL級的功能仿真。

二、第三方EDA工具不支持bdf文件怎麼仿真?
首先需要將.bdf原理圖文件轉換爲Verilog HDL等第三方EDA工具所支持的標準描述文件。在Quartus下,保持*.bdf爲活動窗口狀態,運行[File]/[Create/Update]/[Create HDL Design File for Current File]命令,在彈出窗口選擇文件類型爲Verilog HDL,即可輸出*.v頂層文件。

三、如何在ModelSim中仿真Altera IP宏?(使用modelsim-altera的同學忽略這步)
Altera的基本宏功能的功能(行爲)仿真模型在Quartus工具安裝目錄下的"eda\sim_lib"目錄中:
    Verilog HDL語言的仿真庫文件爲220model.v和altera_mf.v;
    VHDL語言的仿真庫文件爲220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。
仿真時把相關庫文件加到工程中去就行了。
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章