UVM中interface数组的set,get

从tb top使用uvm_config_db set interface数组,我这么写

for(i=`num_of_master;i>0;i--) begin
uvm_config_db#(virtual tv_ahb_master_intf)::set(null, "*", "vintf_master", vintf_master[i]);
end

结果直接报语法错误,google后得出结论如下:

不能使用变量来动态索引接口或模块实例的数组。即使可以,您的代码段也只会将vintf_master [1]设置为名为“ vintf_master”的配置条目。 只有最后一次调用set()才会生效。

需要使用generate语句来访问单个实例。 如何执行取决于是否需要将每个实例发送到单独的代理,还是该代理需要整个接口数组?

for(genvar i=`num_of_master;i>0;i--) begin
  initial uvm_config_db#(virtual tv_ahb_master_intf)::set(null, 
                                                          $sformatf("*.agent%0d",i),
                                                          "vintf_master", 
                                                          vintf_master[i]);
end

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章