ubuntu18.04安裝VCS+verdi錯誤集錦

文件清單

‒vcs-mx_vL-2016.06
‒verdi_vL-2016.06-1
‒scl_v11.9 #此程序統⼀管理license等破解⽂件
‒SynopsysInstaller.rar #此程序⽤於安裝以上3個程序
‒scl_keygen #此⽂件⽤於⽣成license⽂件

步驟

建立如圖所示的空的文件夾
在這裏插入圖片描述
安裝包在此
在這裏插入圖片描述用rar解壓installer,並運行.run文件
在這裏插入圖片描述

sudo -s
chmod +x SynopsysInstaller_v3.3.run
sudo ./SynopsysInstaller_v3.3.run

運行完.run文件後,會生成如下圖,得到setup.sh文件,並運行他
在這裏插入圖片描述
在這裏插入圖片描述
可能你會出現這樣的情況,解決辦法如下所示:

 sudo apt-get install csh

成功後,再次運行,可能會出現如下界面
在這裏插入圖片描述
這⾥切換爲普通⽤⼾模式 Ctrl+D 即可退出
在這裏插入圖片描述
切換爲普通用戶後,再次運行即可成功。

然後依次安裝scl vcs verdi

下面獲取license
雙擊scl_keygen.exe
只要修改
HOST ID Deamon
HOST ID Feature
HOST Name

修改LICENSE文件

DAEMON snpslmd /usr/synopsys/scl_2016.12/linux64/bin/snpslmd
第 1 ⾏, asic-dev 爲 Ubuntu 主機名, 000C29929C28
爲 eth0 的 MAC 地址
第 2 ⾏,/usr/synopsys/scl_2016.12/ 爲 scl 的安裝路

將 Synopsys.dat ⽂件複製到 /
home/EDA/SynopsysInstaller/vcs/licence / 和 /
home/EDA/SynopsysInstaller/verdi/license / 兩個⽂件夾中

環境配置:
vim ~/.bashrc


#dve
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/gui/dve/bin #改成自己vcs的安裝路徑
alias dve='dve -full64'
 
#VCS
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/bin     #改成自己vcs的安裝路徑
alias vcs='vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed' 
#vcs需要的固定的參數,注意系統要安裝gcc-4.8和g++-4.8,這兩個需要自己獨立安裝
 
#VERDI
export PATH=$PATH:/home/tony/synopsys/verdi_2016.06-1/bin #改成自己Verdi安裝路徑
export VCS_HOME=/home/tony/synopsys/vcs_2016.06
export VERDI_HOME=/home/tony/synopsys/verdi_2016.06-1
export NOVAS_HOME=/home/tony/synopsys/verdi_2016.06-1
alias verdi='verdi'
 
#LICENCE
export LM_LICENSE_FILE=27000@tony-pc        #改成自己的host name
alias lmg_vcs='lmgrd -c /home/tony/synopsys/vcs_2016.06/license/Synopsys.dat' #注意改路徑
 
#scl
export PATH=$PATH:/home/tony/synopsys/scl_11.9/linux/bin  #改SCL安裝路徑
export VCS_ARCH_OVERRIDE=linux
source .bashrc
sudo apt-get install lsb-core

最後只需要運行環境變量 source一下,再lmg_vcs進行註冊即可。很多blog都有講,這裏就不說了,主要說一下下面的錯誤解決辦法。

錯誤1:verdi啓動錯誤

有的同學在安裝完畢後啓動verdi後會出現如下錯誤:

verdi/platform/LINUXAMD64/bin/ Novas: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

這個錯誤我也倒騰了好久,最終有效解決了這個問題:
下載 libpng12-0_1.2.54-1ubuntu1.1_amd64 到你的下載目錄中,然後執行在終端執行這個文件即可

下載鏈接:https://download.csdn.net/download/ciscomonkey/12275070

執行命令:

sudo dpkg -i  libpng12-0_1.2.54-1ubuntu1.1_amd64

錯誤2 :啓動vcs錯誤

lmg_vcs

1、若途中有關TCP port的報錯,查詢27000端口進程,直接kill 掉等待27000端口完全釋放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

2、Failed to open the TCP port number in the license問題:

在Terminal輸入:lmdown

netstat -ap | grep 27000
kill -9 XXX(看到的佔⽤端⼝的 ID 號)

然後會問y/n,輸入y。等待一會兒就可以了。注意要等待一會兒

參考:http://bbs.eetop.cn/thread-307403-3-1.html

錯誤3 lmg_vcs錯誤

lmgrd can’t make directory /usr/tmp/.flexlm when running server on Ubuntu:

這個問題,可以參考這個鏈接http://www.myir-tech.com/faq_list.asp?id=521

輸入輸入如下代碼:

sudo -i #切換到root權限
mkdir /usr/tmp/ #創建目錄(Ubuntu下沒有這個目錄)
chmod 777 /usr/tmp/ #修改權限

錯誤4 啓動dve報錯,提示需要-mode64

開啓:dev
dve -mode64
注: 我是通過dve -mode64 才能打開
在這裏插入圖片描述

錯誤5 啓動VCS錯誤

Error-[VCS_COM_UNE] Cannot find VCS compiler
VCS compiler not found. Environment variable VCS_HOME
用vcs -help後,或者用vcs編譯.v文件,發現並不能啓動VCS,而且環境變量錯誤。

在這裏插入圖片描述

解決辦法: vcs -full64 filename.v

錯誤6 執行vcs錯誤

在這裏插入圖片描述
Makefile:104: recipe for target ‘product_timestamp’ failed
將gcc版本降到4.8

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

最後執行的時候需要以cpp -gcc -4.8來執行

 vcs -full64 -cpp gcc-4.8 add.v fa.v add_tb.v  +v2k -debug_all 

但是也許安裝了4.8仍然報錯,我的pc是ubuntu18.04,建議安裝爲4.4版本,手動安裝比較複雜,也許你還入了坑,導致gcc報錯,想remove還不行,說什麼依賴關係,建議你使用snaptics來刪除依賴關係的軟件包。在我的linux 實際操作一文中有講解。然後徹底卸載gcc後,用下面的方法安裝。直接安裝4.4肯定是沒有這個的,已經被刪除了。

解決 APT 倉庫沒有 GCC 4.4.7 的問題, 方法如下:
sudo vim /etc/apt/sources.list
文件追加以下內容:

deb http://mirrors.ustc.edu.cn/ubuntu/ trusty main restricted universe multiverse
deb http://mirrors.ustc.edu.cn/ubuntu/ trusty-security main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-updates main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-backports main restricted universe multiverse

sudo apt update
更新源

安裝必要的軟件
sudo apt install software-properties-common apt-transport-https ca-certifi
sudo apt install csh vim python2.7 perl lsb-core make dos2unix libjpeg62 lib
sudo apt install gcc-4.4 g+±4.4 gcc-multilib gcc-4.4-multilib
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.4 100
sudo update-alternatives --install /usr/bin/g++ g++ /usr/bin/g+±4.4 100
輸入:
gcc -v
g++ -v
確保版本號爲:4.4.7

執行成功
在這裏插入圖片描述

錯誤7 啓動lmg_vcs錯誤

在這裏插入圖片描述
Note: Use +vcs+lic+wait ( or -licwait or -licqueue in Unified Use Model ) to queue for license

解決辦法:
該錯誤原因是因我買了個移動硬盤,把虛擬機內容拷貝到了移動硬盤裏面,但是我沒想到虛擬機的硬件地址也變化了,所以license必須重新生成,不信你可以看看你的MAC地址。重新生成license就可以了。

錯誤8 ./simv gui錯誤

Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64; Mar 30 20:34 2020
$stop at time 100000 Scope: add_tb File: add_tb.v Line: 12
ucli%

在這裏插入圖片描述
該錯誤是因爲進入了dve的命令模式ucli,並沒有進入界面模式
是因爲敲命令時,是-gui,不是gui

./simv -gui $
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章