原创 17-HEVC的算數編碼

【重頭戲,視頻編碼中最難啃的硬骨頭,或許沒有之一。今天這個還是文獻的閱讀記錄,後面幾篇會深入挖掘它的參考文獻和JCT-VC的相關提案,爭取借這次機會

原创 8-HEVC視頻編碼技術

成功運行HM10.0後,下面開始進入真正對HEVC標準採用的編碼技術的討論。參考文獻選用IEEE TCSVT 201212期的Overview of HEVC。 同H.264等前代的視頻編碼標準類似,HEVC依然採用了混合編碼框架,利用幀

原创 9-HEVC的檔次、層與級別

1、檔次、級別和層的概念 檔次、層和級別爲多種不同應用提供了兼容性。一組可以生成符合標準的碼流的編碼工具或算法組成檔次;級別根據解碼過程負載和存儲空間情況對關鍵參數加以限制(如最大采樣頻率、最大圖像尺寸、最大比特率等等等);定義了主層和高

原创 18-回顧:h264中的CABAC

【開始學習HEVC的算數編碼之前,先修補一下知識漏洞,回去學一下H.264的CABAC爲好。參考文獻爲: D. Marpe, H. Schwarz

原创 PCIE性能分析

記錄幾個pcie性能分析的帖子。 https://blog.csdn.net/zhuzongpeng/article/details/78949249  

原创 DWC PCIE學習筆記(一)----->PCIE PHY接口

(以下都是PCIE2 PHY的各種問題) 一、PIPE接口 1、PIPE接口用於連接PCIE controller和PCIE PHY, controller用PIPE接口發送並行數給PHY用於並串轉換等操作, PHY把串並轉換得到的並行

原创 python中的subprocess.Popen()使用

https://www.cnblogs.com/zhoug2020/p/5079407.html

原创 soc調試錯誤經驗收集

1. 時鐘相位錯誤導致數據收發錯誤。         現象a : soc環境內serdes block, 環境外對接serdes, 在serdes建鏈過程中發生重複的建鏈和掉鏈現象, serdes是外部廠商IP核, 內部代碼不可見。 經

原创 USB 3.0規範中譯本 第6章 物理層

本文爲CoryXie原創譯文,轉載及有任何問題請聯繫cory.xie#gmail.com。 6.1 物理層概覽 物理層定義超高速總線的信號技術。本章定義超高速物理層的電氣要求。 本節定義超高速組件之間互操作所要求的電氣層參數

原创 關於NC-Verilog常用的仿真選項

一、通用的基本選項 NC-Verilog中,有部分選項是ncvlog、ncelab和ncsim通用的選項,見表表 2‑1。 2‑1 ncvlog、ncelab和ncsim通用的基本選項 選項 說明 對應ncverilog選項

原创 深入剖析H.264幀間預測(P宏塊)

 來自:http://blog.csdn.net/stpeace/article/details/8115392 工具:foreman_qcif.yuv, JM8.6, H.264visa. 下面僅討論P宏塊的亮度Y分量,爲簡便起見,

原创 Skip宏塊與Direct預測模式淺析

 來自:http://blog.chinaunix.net/uid-13423116-id-2894428.html B_Skip類型宏塊(200503版標準,表7-14最後一行): 無像素殘差,無運動矢量殘差(MVD)。解碼時,通

原创 USB 3.0規範中譯本 第4章 超高速數據流模型

轉自:http://www.cnblogs.com/coryxie/p/3956235.html 本文爲CoryXie原創譯文,轉載及有任何問題請聯繫cory.xie#gmail.com。 本章展示數據和信息如何在超高速上通過的一

原创 USB3.0規範中譯本 第一章 引言

轉自:http://www.cnblogs.com/coryxie/p/3956175.html 本文爲CoryXie原創譯文,轉載及有任何問題請聯繫cory.xie#gmail.com。 1.1 動機(Motivation) U

原创 JM86 中 POC 的計算方法

 來自:http://hi.baidu.com/snowxshy/item/8fcad08318b86adfd1f8cdcb 一·參數說明 這一節闡述的是 encoder.cfg 中的參數對編碼過程的影響 要注意的是 encod