vector初始化




vector的幾種初始化及賦值方式:

(1)不帶參數的構造函數初始化

//初始化一個size爲0的vector
vector<int> abc;
  • 1
  • 2

(2)帶參數的構造函數初始化

//初始化size,但每個元素值爲默認值
vector<int> abc(10);    //初始化了10個默認值爲0的元素
//初始化size,並且設置初始值
vector<int> cde(101);    //初始化了10個值爲1的元素
  • 1
  • 2
  • 3
  • 4

(3)通過數組地址初始化

int a[5] = {1,2,3,4,5};
//通過數組a的地址初始化,注意地址是從0到5(左閉右開區間)
vector<int> b(a, a+5);
  • 1
  • 2
  • 3

(4)通過同類型的vector初始化

vector<int> a(5,1);
//通過a初始化
vector<int> b(a);
  • 1
  • 2
  • 3

(5)通過insert初始化

//insert初始化方式將同類型的迭代器對應的始末區間(左閉右開區間)內的值插入到vector中
vector<int> a(6,6);
vecot<int> b;
//將a[0]~a[2]插入到b中,b.size()由0變爲3
b.insert(b.begin(), a.begin(), a.begin() + 3);
  • 1
  • 2
  • 3
  • 4
  • 5

insert也可通過數組地址區間實現插入

int a[6] = {6,6,6,6,6,6};
vector<int> b;
//將a的所有元素插入到b中
b.insert(b.begin(), a, a+7);
  • 1
  • 2
  • 3
  • 4

此外,insert還可以插入m個值爲n的元素

//在b開始位置處插入6個6
b.insert(b.begin(), 6, 6);
  • 1
  • 2

(6)通過copy函數賦值

vector<int> a(5,1); 

int a1[5] = {2,2,2,2,2}; 

vector<int> b(10);

/將a中元素全部拷貝到b開始的位置中,注意拷貝的區間爲a.begin() ~ a.end()的左閉右開的區間/
copy(a.begin(), a.end(), b.begin());

//拷貝區間也可以是數組地址構成的區間
copy(a1, a1+5, b.begin() + 5);

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9



發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章