quartus Ⅱ 12.1 使用教程(3) 點亮LED燈

開發板使用的是EP4CE15F23C8,軟件使用的是quartus  12.1 ,工程實現的功能是點亮四個LED燈

打開quartus軟件

step1   點擊File-->New Project  Wizard

點擊Next

填寫工程名led_test,點擊Next

點擊Next

選擇開發板型號,點擊Next

點擊Next

點擊Finish

新建完成後,如下圖所示

step2   新建verilog工程文件

點擊File -->New

選擇Verilog HDL File,點擊OK

led_test程序,寫得比較簡單基本都可以看懂

module led_test(
  i_clk,
  i_rst_n,
  led_1,
  led_2,
  led_3,
  led_4

);



//clk  50M  
// 週期爲1s,正負週期各0.5s,輸入時鐘頻率50M週期爲20ns,計數25000000-1翻轉一次
localparam	count_delay  =  25000000-1;

input	i_clk;
input	i_rst_n;
output	led_1;
output	led_2;
output	led_3;
output	led_4;

reg	[24:0]led_cnt;
reg	led_out;

// 計數0.5s
always@(posedge i_clk or negedge i_rst_n)begin
		if(i_rst_n == 1'b0)
			led_cnt	<=		25'd0;
	   else if(led_cnt == count_delay)
			led_cnt	<=		25'd0;
		else
			led_cnt	<=		led_cnt	+ 1'b1;
end			


// 每0.5s led_out翻轉一次		
always@(posedge i_clk or negedge i_rst_n)begin
		if(i_rst_n == 1'b0)
			led_out	<=		1'b0;
	   else if(led_cnt == count_delay)
			led_out	<=		~led_out;
		else
			led_out	<=		led_out;
end

// 將led_out的電平給四個led

assign	led_1	=	led_out;
assign	led_2	=	led_out;
assign	led_3	=	led_out;
assign	led_4	=	led_out;

endmodule

點擊Comple Design進行編譯

點擊Pin Planner分配管腳

分配管腳

再次點擊Comple Design進行編譯,生成sof文件

step3  下載sof文件到開發板

Tools-->Programmer 

找到sof文件存儲路徑,點擊open

選擇下載器,點擊Close

點擊Start

下載到開發板led會不停的閃爍

這裏僅僅只是做爲筆記記錄,以免後面不用的時候忘記了

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章