quartus Ⅱ 12.1 使用教程(6) ROM 測試

開發板使用的是EP4CE15F23C8,軟件使用的是quartus  12.1 ,工程主要讀ROM內的數據

調用ROM  IP

Tools->MegaWizard Plug-In Manager
 點擊Next

 

選擇ROM 

   

數據寬度8bits,數據深度32words,點擊Next

     

點擊Next

點擊Browse,選擇ROM初始化文件

選擇rom_init.mif文件,點擊Open

點擊Next

點擊Finish

點擊Finish

點擊Yes

調用的ROM  IP

設置i_clk和i_rst_n的管腳,其它的管腳不用配置

點擊工具欄上的New按鈕,然後選擇Signaltap Ⅱ Logic Analyzer File,點擊OK

添加時鐘

雙擊空白處

點擊OK

按ctrl+s保存step1,然後編譯再一次工程

雙擊step1

添加sof文件,點擊Open

下載程序

將復位按鈕設置爲上升沿觸發

點擊Run

Signaltap等待觸發信號

按開發板復位按鈕觸發,採集到的rom數據,如下圖所示

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章