Xilinx ISE、Synplify、Modelsim之間的關係,爲什麼會存在比ISE更專業的FPGA開發工具?

雖說Xilinx的ISE內自帶了綜合和仿真工具,但是在網絡論壇上很多人都說這兩個功能模塊(特別是仿真模塊)並不好用而且不專業,所以建議專業用戶使用Synopsys公司提供的Synplify、Synplify Pro和Synplify Premier等專業HDL綜合軟件進行綜合,而又使用Mentor公司提供的Modelsim軟件進行前/後仿真。

那麼問題來了,不是說Xilinx公司是FPGA器件的發明者嗎?那麼這個發明者自己提供的開發工具怎麼就會變得不專業了呢?

實際上,在FPGA器件被Xilinx公司發明之前(1984年),已經出現了不少可編程的邏輯器件(如:PAL、PLA、GAL等均在1970年左右出現,晚些還出現了CPLD)。而這些可編程邏輯器件的開發都是基於“硬件描述語言(HDL)”來實現的,從那時起就已經出現了基於HDL的電路綜合、仿真工具。這些工具(Synplify、Modelsim等)發展到現在已經經歷了半個多世紀,其專業性和易用性均已經十分成熟。

 

而關於“硬件描述語言”的出現則要追溯到20世紀70年代,自那時起,集成電路的複雜程度按照半導體業界摩爾定律的趨勢急劇增長。電路設計人員的工作量不斷增加,這使得他們必須放棄以往那樣從特定的電子元件,如CMOS、雙極性晶體管爲基礎,來開始複雜電路的設計工作。設計流程的關注重心開始轉到電路系統的數據流動以及有關時序的信息,這樣的設計抽象級別被稱爲“寄存器傳輸級”。設計人員通過使用硬件描述語言,可以將精力放在電路邏輯功能、時序的設計上,而不需要一開始就考慮具體的器件製造工藝以及它們對電路功能的影響。

相比之下,藉助FPGA的廣泛普及而崛起的Xilinx公司和Altera公司,他們所提供的FPGA開發工具實際上就是一個集成的綜合環境,該工具集成了一系列的編碼、綜合、仿真、下載、調試等功能模塊,足以讓普通用戶在該工具下完成整個FPGA應用的開發流程。

Quartus_Ⅱ軟件是由Altera公司開發的完整多平臺設計環境,能滿足各種FPGACPLD的設計需要,是片上可編程系統設計的綜合性環境。Vivado設計套件,是Xilinx公司於2012年發佈的集成設計環境。包括高度集成的設計環境和新一代從系統到IC級的工具,這些均建立在共享的可擴展數據模型和通用調試環境基礎上。Xilinx Vivado設計套件中提供了FIFO IP核,可方便應用於設計中。

因此,存在比xilinx ISE和Quartus_Ⅱ更專業、更好用的FPGA綜合、仿真工具就不足爲奇了。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章