Vivado中vio的使用

參考教程:https://www.cnblogs.com/kingstacker/p/9810704.html

前言
使用場景:在使用In system debug時需要使用按鍵觸發查看相關信號,但不想用板子上的按鍵。
VIO:Virtual input output,即虛擬IO。
主要用作虛擬IO使用;VIO的輸出可以控制模塊的輸入,VIO的輸入可以顯示模塊的輸出值。

連接如下圖:
 

假設有一個模塊的復位信號,需要由自己控制,則可以使用VIO核進行相關控制;
 
流程
本次需要一個信號的控制,使用VIO核的流程如下所示。
1.例化一個VIO核:VIO的輸出對應於模塊的輸入,此次只需要一個output probe Count

 

 2、設置vio輸出端口的位寬與初始值:

3、在代碼中插入例化,把VIO的輸出口連接到模塊的輸入。

vio_0 your_instance_name (
  .clk(clk),                // input wire clk
  .probe_out0(probe_out0)  // output wire [0 : 0] probe_out0
);

將信號probe_out0連接到模塊的輸入。

4、正常編譯把bit文件和debug(Itx)文件下載到FPGA中。
自動彈出的界面vio中設置信號的值,則此信號就可以實現復位功能了。

注意:將bit文件下載到FPGA中之後,如果使用vio,必須將vio中所有的信號手動初始化,才能觸發其它信號,進行數據採集。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章