zynq70z35-一步一步實現pl的udp上傳(1)

先建立一個工程

參考文章

如何及建立一個veivado 的工程,詳細的請參考下面的這篇文章
https://blog.csdn.net/weixin_42066185/article/details/106421611

回顧

作爲基本新手入門vivdado , 我從新進行熟悉了一下,跟着教程實現了一下 led 的閃爍,具體代碼即過程請參考後面的led實現過程

實現led即helloworld

led.v code

led.v

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 2020/07/04 11:36:08
// Design Name: 
// Module Name: led
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////


module led(
    input sys_clk_p,
    input sys_clk_n,
    output reg [3:0] led
    );   
    
   reg [31:0] timer_cnt;
   wire sys_clk;
   
  IBUFDS #(
   .DIFF_TERM("FALSE"), // Differential Termination
   .IBUF_LOW_PWR("TRUE"), // Low power="TRUE", Highest performance="FALSE"
   .IOSTANDARD("DEFAULT") // Specify the input I/O standard
   ) IBUFDS_inst (
   .O(sys_clk), // Buffer output
   .I(sys_clk_p), // Diff_p buffer input (connect directly to top-level port)
   
   .IB(sys_clk_n) // Diff_n buffer input (connect directly to Top-level port)
   );
   always@(posedge sys_clk)
   begin
    if(timer_cnt >= 32 'd199_999_999) 
        begin 
            led <= ~led;
            timer_cnt <= 32'd1;
        end
    else
        begin
            led <= led;
            timer_cnt <= timer_cnt + 32'd1;
        end
    end    
endmodule


led.v code 理解

其實實現的是每秒鐘閃爍一次,我們設定系統的時鐘的頻率就是125MHz的速度。

led.xdc code

led.xdc

set_property PACKAGE_PIN AE13 [get_ports {led[3]}]
set_property PACKAGE_PIN AF13 [get_ports {led[2]}]
set_property PACKAGE_PIN AB14 [get_ports {led[1]}]
set_property PACKAGE_PIN AB15 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property PACKAGE_PIN C8 [get_ports sys_clk_p]
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_p]

create_clock -period 5.000 -name sys_clk_p -waveform {0.000 2.500} [get_ports sys_clk_p]

led.xdc code 理解

在這裏插入圖片描述

實驗效果

在這裏插入圖片描述

在這裏插入圖片描述

總結

如上,總共瞭解了建立一個工程,和燒錄的整體的過程,爲實現udp的整體的實現,提供了一個基本流程的更新

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章