vivado timing report

轉自:

https://forums.xilinx.com/t5/Welcome-Join/Total-Negative-Slack-vs-Worst-Negative-Slack/td-p/308077

 

The "Worst Negative Slack (WNS)" reported by commands like report_timing_summary is actually the worst positive slack. If WNS is positive then it means that the path passes. If it is negative, then it means the path fails. So, this is really a misnomer.

 

The "Total Negative Slack (TNS)" is the sum of the (real) negative slack in your design. If 0, then the design meets timing. If it is a positive number, then it means that there is negative slack in the design (hence your design fails). It cannot be negative.

 

Going on, the "Worst Hold Slack (WHS)" is correct - it is the worst hold slack in the design. Like WNS if positive, it means the path passes, if negative it fails.

 

Conversely "Total Hold Slack (THS)" is also a misnomer. It is really the sum of the (real) negative hold slack. If zero, your design passes, if positive, your design fails.

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章