FPGA學習筆記(五)——狀態機設計

第一段:同步時序的always模塊,格式化描述次態遷移到現態寄存器。

always@(posedge clk or negedge rst_t) begin
if(!rst_n) begin
   state_c <= IDLE;
end
else begin
   state_c <= state_n;
end
end

第二段:組合邏輯的always模塊,描述狀態轉移條件。
 

always@(*) begin
case(state_c)
 IDLE:begin
  if(idle2s1_start) begin
   state_n = S1;
  end
  else begin
   state_n = state_c;
  end
 end
 S1: begin
  if(s12s2_start) begin
   state_n = S2;
  end
  else begin
   state_n = state_c;
 end
 end
 S2: begin
  if(s22idle_start) begin
   state_n = IDLE;
  end
  else begin
   state_n = state_c;
  end
  end
 default: begin
  state_n = IDLE;
 end
 endcase
end

第三段:定義狀態轉移條件。

assign idle2s1_start = state_c==IDLE &&;
assign s12s2_start = state_c==S1 &&;
assign s22idle_start = state_c==S2 &&;

第四段:設計輸出。

always@(posedge clk or negedge rst_t)
 if(!rst_n) begin
  out1<=1'b0;
 end
 else if(state_c==S1) begin
  out1<=1'b1;
 end
 else begin
  out1<=1'b0;
 end
 end


 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章