verilog 串口接收多個字節數據

原文地址:verilog串口接收多個數據進行處理的實現方法

https://blog.csdn.net/deng_d1/article/details/51491325

關於使用串口接收多個數據進行處理的問題,目前網上存在的關於verilog串口通信的資料都是屬於講解對於使用串口實現單個字符的接收與發送。而往往在使用串口進行通信時,接數據端都需要通過串口來接收很多數據,然後當所有數據都接收完或者達到某種條件後開始自己的後續工作。所以在這裏我把自己的一些具體實現過程以及verilog源代碼分享一下,希望對大家有幫助。 
(這裏只講利用串口接收數據並處理的部分,發送那部分後面再分享) 
先貼上網上很多的串口接收的代碼,如下;

module my_uart_rx(
                clk,rst_n,
                rs232_rx,rx_data,rx_int,
                clk_bps,bps_start
            );

input clk;      // 50MHz主時鐘
input rst_n;    //低電平復位信號
input rs232_rx; // RS232接收數據信號
input clk_bps;  // clk_bps的高電平爲接收或者發送數據位的中間採樣點
output bps_start;       //接收到數據後,波特率時鐘啓動信號置位
output[7:0] rx_data;    //接收數據寄存器,保存直至下一個數據來到 
output rx_int;  //接收數據中斷信號,接收到數據期間始終爲高電平

//----------------------------------------------------------------
reg rs232_rx0,rs232_rx1,rs232_rx2,rs232_rx3;    //接收數據寄存器,濾波用
wire neg_rs232_rx;  //表示數據線接收到下降沿

always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
            rs232_rx0 <= 1'b0;
            rs232_rx1 <= 1'b0;
            rs232_rx2 <= 1'b0;
            rs232_rx3 <= 1'b0;
        end
    else begin
            rs232_rx0 <= rs232_rx;
            rs232_rx1 <= rs232_rx0;
            rs232_rx2 <= rs232_rx1;
            rs232_rx3 <= rs232_rx2;
        end
end
    //下面的下降沿檢測可以濾掉<20ns-40ns的毛刺(包括高脈衝和低脈衝毛刺),
    //這裏就是用資源換穩定(前提是我們對時間要求不是那麼苛刻,因爲輸入信號打了好幾拍) 
    //(當然我們的有效低脈衝信號肯定是遠遠大於40ns的)
assign neg_rs232_rx = rs232_rx3 & rs232_rx2 & ~rs232_rx1 & ~rs232_rx0;    //接收到下降沿後neg_rs232_rx置高一個時鐘週期

//----------------------------------------------------------------
reg bps_start_r;
reg[3:0] num;   //移位次數
reg rx_int;     //接收數據中斷信號,接收到數據期間始終爲高電平

always @ (posedge clk or negedge rst_n)
    if(!rst_n) begin
            bps_start_r <= 1'bz;
            rx_int <= 1'b0;
        end
    else if(neg_rs232_rx) begin     //接收到串口接收線rs232_rx的下降沿標誌信號
            bps_start_r <= 1'b1;    //啓動串口準備數據接收
            rx_int <= 1'b1;         //接收數據中斷信號使能
        end
    else if(num==4'd11) begin       //接收完有用數據信息 ///將這個地方的num後面的數字改爲了11.原來是12!!
            bps_start_r <= 1'b0;    //數據接收完畢,釋放波特率啓動信號
            rx_int <= 1'b0;         //接收數據中斷信號關閉
        end

assign bps_start = bps_start_r;

//----------------------------------------------------------------
reg[7:0] rx_data_r;     //串口接收數據寄存器,保存直至下一個數據來到
//----------------------------------------------------------------

reg[7:0] rx_temp_data;  //當前接收數據寄存器

always @ (posedge clk or negedge rst_n)
    if(!rst_n) begin
            rx_temp_data <= 8'd0;
            num <= 4'd0;
            rx_data_r <= 8'd0;
        end
    else if(rx_int) begin   //接收數據處理
        if(clk_bps) begin   //讀取並保存數據,接收數據爲一個起始位,8bit數據,1或2個結束位     
                num <= num+1'b1;
                case (num)
                        4'd1: rx_temp_data[0] <= rs232_rx;  //鎖存第0bit
                        4'd2: rx_temp_data[1] <= rs232_rx;  //鎖存第1bit
                        4'd3: rx_temp_data[2] <= rs232_rx;  //鎖存第2bit
                        4'd4: rx_temp_data[3] <= rs232_rx;  //鎖存第3bit
                        4'd5: rx_temp_data[4] <= rs232_rx;  //鎖存第4bit
                        4'd6: rx_temp_data[5] <= rs232_rx;  //鎖存第5bit
                        4'd7: rx_temp_data[6] <= rs232_rx;  //鎖存第6bit
                        4'd8: rx_temp_data[7] <= rs232_rx;  //鎖存第7bit
                        default: ;
                    endcase
            end
        else if(num == 4'd11) begin     //我們的標準接收模式下只有1+8+1(2)=11bit的有效數據///將這個地方的num後面的數字改爲了11.原來是12!!
                num <= 4'd0;            //接收到STOP位後結束,num清零
                rx_data_r <= rx_temp_data;  //把數據鎖存到數據寄存器rx_data中
            end
        end

assign rx_data = rx_data_r; 

endmodule


這裏大致就是說串口接收單次數據的處理過程,接收完數據後產生髮送標誌位,開始發送數據,而對於這裏要說的數據緩存處理有兩種解決方法, 
第一種:十六進制傳送,所以每次傳送有效數據爲八位,因此定義一個寄存器 reg [23:0] rx_cnt;長度爲8的整數倍,然後使用移位的方式對數據進行存儲,上述代碼中NUM計數到11即完成一次數據傳輸,所以在num=11的地方加入

     

                rx_cnt[7:0] <= rx_data_r;
                rx_cnt[23:8] <= rx_cnt[15:0] ;
                cnt <= cnt+1;//傳送數據計數作用
                if(cnt==NUMBER)//當傳送數據達到NUMBER個時候,執行後續程序
                begin
                cnt<=cnt;
                end
assign rx_data_out = (cnt==NUMBER)?rx_cnt:rx_data_out;  
                  //傳送數據達到NUMBER個時候,輸出寄存器的數,
                  //此時寄存器含有傳送的所有數據。


然後把原本的輸出口assign rx_data = rx_data_r;去掉,寫新的輸出 
接收的完整代碼如下:

//`timescale 1ns / 1ps

module my_uart_rx(
                clk,
                rst_n,
                rs232_rx,
                rx_data,
                rx_int,
                start,
                clk_bps,
                bps_start,
                rom_en,
                rx_data_out

            );

input clk;      // 50MHz主時鐘
input rst_n;    //低電平復位信號
input rs232_rx; // RS232接收數據信號
input clk_bps;  // clk_bps的高電平爲接收或者發送數據位的中間採樣點
output bps_start;       //接收到數據後,波特率時鐘啓動信號置位
output[7:0] rx_data;    //接收數據寄存器,保存直至下一個數據來到 
output rx_int;  //接收數據中斷信號,接收到數據期間始終爲高電平
output reg start;
output wire [23:0] rx_data_out;
//----------------------------------------------------------------
reg rs232_rx0,rs232_rx1,rs232_rx2,rs232_rx3;    //接收數據寄存器,濾波用
wire neg_rs232_rx;  //表示數據線接收到下降沿
reg [23:0] rx_cnt;
always @ (posedge clk or negedge rst_n) begin
    if(!rst_n) begin
            rs232_rx0 <= 1'b0;
            rs232_rx1 <= 1'b0;
            rs232_rx2 <= 1'b0;
            rs232_rx3 <= 1'b0;
        end
    else begin
            rs232_rx0 <= rs232_rx;
            rs232_rx1 <= rs232_rx0;
            rs232_rx2 <= rs232_rx1;
            rs232_rx3 <= rs232_rx2;
        end
end
    //下面的下降沿檢測可以濾掉<20ns-40ns的毛刺(包括高脈衝和低脈衝毛刺),
    //這裏就是用資源換穩定(前提是我們對時間要求不是那麼苛刻,因爲輸入信號打了好幾拍) 
    //(當然我們的有效低脈衝信號肯定是遠遠大於40ns的)
assign neg_rs232_rx = rs232_rx3 & rs232_rx2 & ~rs232_rx1 & ~rs232_rx0;    //接收到下降沿後neg_rs232_rx置高一個時鐘週期

//----------------------------------------------------------------
reg bps_start_r;
reg[3:0] num;   //移位次數
reg rx_int;     //接收數據中斷信號,接收到數據期間始終爲高電平

always @ (posedge clk or negedge rst_n)
    if(!rst_n) begin
            bps_start_r <= 1'bz;
            rx_int <= 1'b0;
        end
    else if(neg_rs232_rx) begin     //接收到串口接收線rs232_rx的下降沿標誌信號
            bps_start_r <= 1'b1;    //啓動串口準備數據接收
            rx_int <= 1'b1;         //接收數據中斷信號使能
        end
    else if(num==4'd9) begin        //接收完有用數據信息 ///將這個地方的num後面的數字改爲了11.原來是12!!
            bps_start_r <= 1'b0;    //數據接收完畢,釋放波特率啓動信號
            rx_int <= 1'b0;         //接收數據中斷信號關閉
        end

assign bps_start = bps_start_r;

//----------------------------------------------------------------
reg[7:0] rx_data_r;     //串口接收數據寄存器,保存直至下一個數據來到
//----------------------------------------------------------------

reg[7:0] rx_temp_data;  //當前接收數據寄存器
reg [5:0]cnt;

//assign led = (cnt==10)?1:0;
always @ (posedge clk or negedge rst_n)
    if(!rst_n) begin
            rx_temp_data <= 8'd0;
            num <= 4'd0;
            cnt<= 0;
            start<=0;
            rx_cnt<=24'b0;
            //rx_data_out <= 384'd0;
            rx_data_r <= 8'd0;
        end
    else if(rx_int) begin   //接收數據處理
        if(clk_bps) begin   //讀取並保存數據,接收數據爲一個起始位,8bit數據,1或2個結束位     
                num <= num+1'b1;
                case (num)
                        4'd1: rx_temp_data[0] <= rs232_rx;  //鎖存第0bit
                        4'd2: rx_temp_data[1] <= rs232_rx;  //鎖存第1bit
                        4'd3: rx_temp_data[2] <= rs232_rx;  //鎖存第2bit
                        4'd4: rx_temp_data[3] <= rs232_rx;  //鎖存第3bit
                        4'd5: rx_temp_data[4] <= rs232_rx;  //鎖存第4bit
                        4'd6: rx_temp_data[5] <= rs232_rx;  //鎖存第5bit
                        4'd7: rx_temp_data[6] <= rs232_rx;  //鎖存第6bit
                        4'd8: rx_temp_data[7] <= rs232_rx;  //鎖存第7bit
                        default: ;
                    endcase
            end
        else if(num == 4'd9) begin      //我們的標準接收模式下只有1+8+1(2)=11bit的有效數據///將這個地方的num後面的數字改爲了11.原來是12!!
                num <= 4'd0;            //接收到STOP位後結束,num清零
                rx_data_r <= rx_temp_data;  //把數據鎖存到數據寄存器rx_data中
                cnt <= cnt+1;
                rx_cnt[7:0] <= rx_data_r;
                rx_cnt[23:8] <= rx_cnt[15:0] ;
                if(cnt==NUMBER)//當傳送數據達到NUMBER個時候,執行後續程序
                begin
                cnt<=cnt;
                start<=1;//數據接收完成信號
                end
            end
        end
assign rx_data_out = (cnt==6'd48)?rx_cnt:rx_data_out;   
endmodule

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章