fpga 如何用VIO

      在硬件調試過程中,經常會遇到這種情況。我們需要抓的時序可能剛上電的時候就有,等我們開始抓取波形的時候,該時序已經不能正常獲取了。這就需要我們添加一個VIO ,下載完代碼以後,觸發VIO 產生一個使能信號,用該使能信號做邏輯觸發,抓取時序波形。

   

 

 

將上述IP核生成好,添加到代碼中。測試的時候,輸出默認是0,運行VIO 以後輸出1 。用1 做觸發,做邏輯的使能信號。

vio_0 vio_db_respone (
  .clk(log_clk),               
  .probe_in0(),   
  .probe_out0(vio_outptu_en)  
);    

 

正常編譯把bit文件和debug文件下載到FPGA中。

在界面vio中右鍵信號進行設置toggle button。則此信號就可以按壓button進行控制了。 (是否可以不要VIO 輸入,直接VIO 輸出,默認是0,debug的時候設置爲1,然後運行)

 

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章