4選1MUX

調用門原件實現:

module exe(out,in1,in2,in3,in4,s0,s1);
input in1,in2,in3,in4,s0,s1;
output out;
wire s0_n,s1_n,w,x,y,z;
not (s0_n,s),(s1_n,s1);
and(w,in1,s0_n,s1_n),(x,in2,s0_n,s1),
   (y,in3,s0,s1_n),(z,in4,s0,s1);
or  (out,w,x,y,z);
endmodule

case 語句描述:

module exe(out,in1,in2,in3,in4,s0,s1);
input in1,in2,in3,in4,s0,s1;
output  reg out;
always@(*)
    case ({s0,s1})
	 2'b00:out=in1;
	 2'b01:out=in2;
	 2'b10:out=in3;
	 2'b11:out=in4;
	 default:out=2'bx;
	 endcase
endmodule

數據流描述:

module exe(out,in1,in2,in3,in4,s0,s1);
input in1,in2,in3,in4,s0,s1;
output out; //不能定義爲reg 類型
assign out=(in1 & ~s0 & ~s1)|(in2 & ~s0 & s1)|
     (in3 & s0 & ~s1)|(in3 & s0 & ~s1);
endmodule

條件運算符描述:

module exe(out,in1,in2,in3,in4,s0,s1);
input in1,in2,in3,in4,s0,s1;
output out; //不能定義爲reg 類型
assign out=s0?(s1?in4:in3):(s1?in2:in1);
endmodule

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章